WO2016105566A1 - Compact ion beam sources formed as modular ionizer - Google Patents

Compact ion beam sources formed as modular ionizer Download PDF

Info

Publication number
WO2016105566A1
WO2016105566A1 PCT/US2015/000468 US2015000468W WO2016105566A1 WO 2016105566 A1 WO2016105566 A1 WO 2016105566A1 US 2015000468 W US2015000468 W US 2015000468W WO 2016105566 A1 WO2016105566 A1 WO 2016105566A1
Authority
WO
WIPO (PCT)
Prior art keywords
field emitter
ion source
compact ion
compact
source
Prior art date
Application number
PCT/US2015/000468
Other languages
French (fr)
Inventor
Akintunde Ibitayo Akinwande
Stephen Angelo GUERRERA
Original Assignee
Massachusetts Institute Of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Massachusetts Institute Of Technology filed Critical Massachusetts Institute Of Technology
Priority to US15/539,371 priority Critical patent/US10431412B2/en
Publication of WO2016105566A1 publication Critical patent/WO2016105566A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J27/00Ion beam tubes
    • H01J27/02Ion sources; Ion guns
    • H01J27/20Ion sources; Ion guns using particle beam bombardment, e.g. ionisers
    • H01J27/205Ion sources; Ion guns using particle beam bombardment, e.g. ionisers with electrons, e.g. electron impact ionisation, electron attachment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J27/00Ion beam tubes
    • H01J27/02Ion sources; Ion guns
    • H01J27/26Ion sources; Ion guns using surface ionisation, e.g. field effect ion sources, thermionic ion sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H3/00Production or acceleration of neutral particle beams, e.g. molecular or atomic beams
    • H05H3/06Generating neutron beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0815Methods of ionisation
    • H01J2237/082Electron beam

Definitions

  • High current ion sources are based on microwave plasma generation, typically powered by a magnetron. They have demonstrated very high currents (>100 mA D + ) and high current density (>350 mA/cm 2 ).
  • Most commercial available plasma sources are based on an electron cyclotron resonance (ECR) process to increase the ion density in a plasma.
  • ECR electron cyclotron resonance
  • the ECR process requires high magnetic fields and hence large magnets. While they are able to reach high current density and high ion current, they consume a lot of power (kW) and are not power efficient. They also have large footprint (volume and "linear" dimensions) and heavy weight due to the associated components, such as microwave power supplies, matching networks and magnets. They often require significant thermal management and liquid cooling.
  • compact ion beam source formed with modular ionizers are provided.
  • the modular ion beam sources are formed from field emitter elements disposed in a modular housing, where the modular housing formed with a window that is selectively transmissive to an electron beam, or the ion beam, or both, and that has low permeability to gasses including oxidizing gaseous species.
  • the example systems, methods, and apparatus are configured to generate an ion beam through either a process of field ionization, or a process of electron impact ionization, or some combination of the two processes.
  • the modular ionizer can be formed from one or more field emitter arrays, each array including a plurality of individually switched field emitter elements serving as a nanoscale electron sources.
  • Any example field emitter array herein can be configured for spatial and/or temporal switching of selective field emitter elements of the field emitter arrays.
  • Example systems, methods, and apparatus herein provide an example compact ion beam source that includes an electron beam unit and a chemical species source.
  • the electron beam unit includes a modular housing unit that is selectively impermeable to gasses including oxidizing gaseous molecules, an electron beam source disposed in the modular housing unit, and at least one anode component disposed in the modular housing unit.
  • the modular housing unit includes a base portion and a window that is selectively transmissive to electrons.
  • the electron beam source includes at least one field emitter element having a first end disposed over the base portion and a field emitter tip disposed proximate to a second end that is opposite to the first end, and at least one gate electrode disposed proximate to the second end of the at least one field emitter element.
  • the at least one gate electrode is used to apply a potential difference proximate to the field emitter tip of the at least one field emitter elements, thereby extracting electrons from the at least one field emitter tip to form an electron beam.
  • the at least one anode component is disposed in the modular housing unit and is configured to accelerate the electron beam in a path directed at the window of the modular housing unit.
  • the chemical species source is disposed proximate to the window of the electron beam unit, to provide at least one chemical species that is ionizable on exposure to the electron beam to produce the ion beam.
  • Example systems, methods, and apparatus herein provide an example compact ion beam source that includes a modular housing unit includingg a base portion and at least one chemical species disposed proximate to the field emitter tip.
  • a first end of at least one field emitter element is disposed over the base portion, and a field emitter tip is disposed proximate to a second end that is opposite to the first end.
  • At least one gate electrode is disposed proximate to the second end of the at least one field emitter element.
  • the at least one chemical species is disposed proximate to the field emitter tip.
  • the at least one chemical species is ionizable to produce an ion beam in response to a positive potential difference being at the field emitter tip of the at least one field emitter element relative to the at least one gate electrode.
  • the modular housing unit of the example compact ion source can include a window that is selectively transmissive to the ion beam.
  • FIG. 1 shows a cross-sectional view of an example compact ion beam source, according the principles herein.
  • Figure 2 shows an example mechanism for generating an ion beam using electron impact ionization, according the principles herein
  • Figure 3A shows a cross-sectional view of another example compact ion beam source, according the principles herein
  • Figure 3B shows a cross-sectional view of another example compact ion beam source, according the principles herein
  • Figure 4 shows an example mechanism for generating an ion beam using field ionization, according the principles herein
  • Figure 5 shows a cross-sectional view of another example compact ion beam source, according the principles herein
  • Figure 6 shows a top view of example field emitter array regions, according the principles herein.
  • Figure 7A shows a schematic cross-sectional view of an example electron beam source, according the principles herein
  • Figure 7B shows a cross-section, of a fabricated single gate field-emission source, according the principles herein.
  • Figure 8 shows an example of a neutron source based on a deuterium ion beam source, according the principles herein.
  • Figure 9 shows an example of a neutron source based on a deuterium ion beam source, according the principles herein.
  • Figure 1 0 shows an example of a beam of deuterium ions directed at a target, according the principles herein.
  • Figure 1 1 shows an example ion pump, according the principles herein.
  • Figure 12 shows a cross-sectional view of the structure of an example compact ion beam source, according the principles herein.
  • Figure 13 shows a plot of an example distribution in emitter tip radius for a field ionization array, according the principles herein.
  • Figure 14 shows a plot of an example of the effect of emitter tip radius on field ionization, according the principles herein.
  • Figure 1 5 shows a plot of example emitter and gate currents per tip as functions of gate voltage, according the principles herein.
  • Figure 16 shows the results of a simulation of the current-voltage characteristics of a 320,000 tip field ionizer array, according the principles herein.
  • Figures 17A - 17D show an example schematic diagram (17A), circuit diagram ( 17B), scanning electron microscope image (17C), and current-gate emitter voltage characteristics and Fowler-Nordheim plot of anode current (17D), according the principles herein.
  • Figure 18 shows a plot of an example ionization efficiency for the example electron impact ionizer pump of Figure 1 1, according the principles herein.
  • the term “includes” means includes but is not limited to, the term “including” means including but not limited to.
  • any references to “top” surface and “bottom” surface are used primarily to indicate relative position, alignment and/or orientation of various elements/components with respect to the substrate and each other, and these terms do not necessarily indicate any particular frame of reference (e.g., a gravitational frame of reference).
  • reference to a “bottom” of a substrate or a layer does not necessarily require that the indicated surface or layer be facing a ground surface.
  • the term "chemical species” encompasses atoms, molecules, molecular fragments, and ions.
  • the chemical species can be in the form of a solid, liquid, or gas.
  • Example systems, methods, and apparatus herein provide compact ion beam source that can be used to generate ion beams using field emitter elements or field emitter arrays.
  • the example compact ion beam source according to the principles herein exhibit increased lifetime and performance by introducing features that act to focus the electron or ion beam and protect the field emitter tips from bombardment by back streaming ions.
  • the instant disclosure also describes example systems, methods, and apparatus for fabrication of self-aligned single-gate or double-gate high aspect ratio field emitter tip structures, reduction of emitter tip damage from back ion bombardment, and reduction of the likelihood of premature dielectric breakdown due to high voltage operation, especially in the field ionization mode.
  • the example compact ion beam source can be configured to generate the ion beams based on an electron impact ionization mechanism or a field ionization mechanism.
  • a chemical species is exposed to an electron beam from the field emitter elements.
  • the impact of the electron beam with the chemical species causes ionization based on the electron impact ionization mechanism, to generate the ions.
  • the collisions can be elastic or inelastic, resulting in the creation of ions or reactive excited radicals.
  • the energy of the electron beam can be transferred to cause promotion of electrons of molecules of the chemical species to higher energy levels, thereby creating excited radicals.
  • the ions resulting from the electron impact ionization mechanism can be used for processes such as reactive ion etching.
  • the ions form from the chemical species that is within the vicinity of the potential difference generated between emitter tip of the field emitter element and the gate electrode.
  • a positive potential difference generated between the gate electrode and the field emitter tip causes electron tunneling from the chemical species to the field emitter tip, resulting in ionization of the chemical species and generation of the ions.
  • the example systems, methods and apparatus herein provide spatial and temporal control of the ion beam emissions from the compact ion beam sources.
  • the compact ion beam source can be formed into neutron sources based on ion beam bombardment of neutron-rich targets.
  • FIG. 1 shows a cross-sectional view of an example compact ion beam source 100 according the principles taught herein.
  • the example compact ion beam source 100 includes an electron beam unit 102 and a chemical species source 104.
  • the example electron beam unit 102 includes a modular housing unit 106 that is selectively impermeable to gasses (including oxidizing gaseous molecules), an electron beam source 108 disposed in the modular housing unit, and at least one anode component 1 10 disposed in the modular housing unit 106.
  • the modular housing unit 106 includes a base portion 1 12 and a window 1 14 that is selectively transmissive to electrons.
  • the electron beam source 108 includes at least one field emitter element 1 16 disposed over the base portion 1 12 and at least one gate electrode 1 18.
  • Field emitter element 1 16 is formed with a first end 120 disposed proximate to the base portion 1 12 and a second end formed with a field emitter tip 122.
  • the gate electrode 1 18 is disposed proximate to the second end of the field emitter element 1 16, and is used to apply a potential difference proximate to the field emitter tip, thereby extracting electrons from the at least one field emitter tip, through electron tunneling, to form the electron beam (e ' ) emitted from the electron beam source 108.
  • the anode component 1 10 is configured to accelerate the electron beam in a path directed at the window 1 14 of the modular housing unit 106.
  • the chemical species source 104 is disposed proximate to the window of the electron beam unit, and provides at least one chemical species that is ionizable to produce an ion beam on exposure to the electron beam.
  • Figure 2 shows an example mechanism for generating an ion beam using electron impact ionization.
  • an electron beam 202 from an electron beam source is accelerated towards the chemical species 204 from a chemical species source.
  • the impact of the electron beam with the chemical species causes ionization 206 that generates the ion beam.
  • the example compact ion beam source of Figure 1 can be used to generate an ion beam based on the electron impact ionization mechanism.
  • a neutron-rich target 208 can be dispose in the emission path of the ion beam, such that the interaction of the ion beam with the neutron- rich target generates a neutron beam.
  • FIG. 3A shows a cross-sectional view of another example compact ion beam source 300 according the principles taught herein.
  • the compact ion beam source 300 includes a modular housing unit 302 including a base portion 304.
  • the compact ion beam source 300 also includes at least one field emitter element 306 disposed over the base portion 304, at least one gate electrode 308, and a chemical species source 309.
  • Field emitter element 306 is formed with a first end 310 disposed proximate to the base portion 304 and a second end formed with a field emitter tip 312.
  • the gate electrode 308 is disposed proximate to the second end of the field emitter element 306, and is used to apply a potential difference between the gate electrode 308 and the field emitter tip 312.
  • the chemical species source 309 provides at least one chemical species proximate to the field emitter tip 312 that is ionizable to produce an ion beam in response to a positive potential difference being at the field emitter tip 312 of the at least one field emitter element 306 relative to the gate electrode 308.
  • FIG. 3B shows a cross-sectional view of another example compact ion beam source 350 according the principles taught herein.
  • the compact ion beam source 350 includes a modular housing unit 352 including a base portion 304 and a window 355 that is selectively transmissive to the ion beam.
  • the compact ion beam source 350 also includes at least one field emitter element 356 disposed over the base portion 354, at least one gate electrode 358, and a chemical species source 359.
  • Field emitter element 356 is formed with a first end 360 disposed proximate to the base portion 354 and a second end formed with a field emitter tip 362.
  • the gate electrode 358 is disposed proximate to the second end of the field emitter element 356, and is used to apply a potential difference between the gate electrode 358 and the field emitter tip 362.
  • the chemical species source 359 provides at least one chemical species proximate to the field emitter tip 362 that is ionizable to produce an ion beam in response to a positive potential difference being at the field emitter tip 362 of the at least one field emitter element 356 relative to the gate electrode 358.
  • the example of Figure 3B also may include an optional collector component 364 disposed in the modular housing unit 352 and configured to apply a lower potential than the gate electrode 358, to accelerate the ion beam towards the window. [0041] In any example implementation according to the principles of Figure 3A or 3B, at least one collector component can be disposed in the modular housing unit and configured to apply a lower potential than the gate electrode to accelerate the ion beam.
  • the type of ion beam generated through a field ionization process can depend on the magnitude of the positive potential difference established.
  • a field of about 2.5 x 10 8 V/cm (or about 25 V/nm) can be used to generate H + or D + ions, rather than H 2+ or D 2+ ions.
  • Figure 4 shows an example mechanism for generating an ion beam using field ionization.
  • a chemical species source is used to provide at least one chemical species proximate to the field emitter tip of a field emitter element.
  • a positive potential difference between the gate electrode and the field emitter tip causes electron tunneling 402 from the chemical species to the field emitter tip, resulting in ionization 404 to provide the ions.
  • the ions are accelerated away from the gate electrode as an ion beam.
  • the example compact ion beam source of Figure 3 A or 3B can be used to generate an ion beam based on this mechanism.
  • a neutron-rich target 406 can be dispose in the emission path of the ion beam to generate a neutron beam.
  • FIG. 5 shows a cross-sectional view of another example compact ion beam source 500 according the principles taught herein.
  • the example compact ion beam source 500 includes an electron beam unit 502 and a chemical species source 504.
  • the example electron beam unit 502 includes a modular housing unit 506 that is selectively impermeable to gasses (including oxidizing gaseous molecules), an electron beam source 508 disposed in the modular housing unit, and at least one accelerator component 510 disposed in the modular housing unit 506.
  • the modular housing unit 506 includes a base portion 512 and a window 514 that is selectively transmissive to electrons.
  • the compact ion beam source may include at least one focusing lens 51 1 to focus the electron beam and at least one anode component 510 to accelerate the electron beam in a path directed at the window 514 of the modular housing unit 506.
  • the chemical species source 504 is disposed proximate to the window of the electron beam unit, and provides at least one chemical species that is ionizable to produce an ion beam on exposure to the electron beam.
  • the compact ion beam source also can include at least one output lens 516 positioned outside the modular housing unit 506 proximate to the window 514.
  • the electron beam source 508 of Figure 5 includes at least one field emitter element having a first end disposed over the base portion 512 and a field emitter tip at a second end.
  • At least one gate electrode is disposed to apply a potential difference proximate to the field emitter tip, thereby extracting electrons from the at least one field emitter tip (via electron tunneling) to form the electron beam (e ) emitted from the electron beam source 508.
  • the at least one focusing lens 51 1 can be formed as Einzel lens, which includes three or more sets of cylindrical or rectangular lenses in series.
  • the at least one chemical species can be a gas, a liquid or solid particulate matter.
  • a chemical species that is ordinarily in solid particulate form or liquid form can be introduced by the chemical species source in the form of an aerosol carried by a propellant gas.
  • the chemical species source can be a hose, syringe, a nozzle, or other apparatus that can be used to introduce the gas, aerosol, or other form of the chemical species to the desired location.
  • the at least one chemical species can include deuterium, tritium, or other neutron-rich isotope.
  • the neutron-rich target material can include a triatiated or a deuterated target material.
  • the voltage applied at the gate electrode structure can be pulsed, resulting in pulse ion generation, and hence pulsed neutron generation.
  • the modular housing unit can be configured to exhibit low permeability to gasses including oxidizing gaseous molecules. Exposure to the oxidizing molecules can reduce the performance of the field emitter element and gate electrodes of the compact ion beam sources. In an example, the modular housing unit can be configured to be impermeable to the oxidizing gaseous molecules.
  • the modular housing unit and the window can be configured to form a hermetic seal of the compact ion beam source.
  • the modular housing unit can include an inert gas.
  • the modular housing of the compact ion beam source can be maintained at a partial pressure, up to an including being a vacuum, prior to introduction of the chemical species.
  • the pressure in the modular housing unit can range from about 1 .0 milliTorr to about 1.0 x 10 "9 Torr.
  • the region of the compact ion source with the field emitters can be maintained at a high or ultra-high vacuum (such as but not limited to ranging from about 1.0 x 10 '7 Torr to about 1.0 x 10 '9 Torr), while the region in which the ionization occurs can be maintained at a higher pressure (such as but not limited to about 1.0 mTorr).
  • a high or ultra-high vacuum such as but not limited to ranging from about 1.0 x 10 '7 Torr to about 1.0 x 10 '9 Torr
  • a higher pressure such as but not limited to about 1.0 mTorr
  • the modular housing unit can include a plurality of sections that allow passage of multiple, differing beams (whether an electron beam or an ion beam), or differing beams in differing regions.
  • One or more of the plurality of sections can be formed with a window that is transmissive of the desired beam (whether an electron beam or an ion beam).
  • the window can be a membrane formed from a single layer, or multiple layers, of a two-dimensional material.
  • the window can be formed from graphene, molybdenum disulphide, a nitride material, an oxide material, silicene, or any combination thereof.
  • the membrane window can be formed from any two-dimensional allotrope of carbon, such as but not limited to graphene.
  • the membrane window can be formed from any two-dimensional allotrope of silicon, such as but not limited to silicene.
  • the window can be formed as a thin film layer of a material that is an insulating dielectric in bulk form.
  • the insulating dielectric material can include an oxide, a nitride, or any other dielectric form of aluminum, silicon, germanium, gallium, indium, tin, antimony, tellurium, bismuth, titanium, vanadium, chromium, manganese, cobalt, nickel, copper, zinc, zirconium, niobium, molybdenum, palladium, cadmium, hafnium, tantalum, or tungsten, or any combination thereof.
  • the base portion can include at least one logic chip, with at least one field emitter element being in electrical communication with the at least one logic chip.
  • the at least one logic chip includes at least one processing unit.
  • the logic chip can be programmed to cause the spatial and/or temporal control of ion beam emissions, as described herein.
  • the field emitter elements can be formed as one-dimensional arrays, two-dimensional arrays, or staggered three-dimensional arrays.
  • Each array includes a number of field emitter elements disposed over a substrate.
  • the field emitter elements each have a longitudinal symmetry, with a high aspect ratio of height to lateral dimension as described in greater detail below.
  • the field emitter elements can be fabricated in an array at a pitch of about 45 microns or less, about 40 microns or less, about 30 microns or less, about 20 microns or less, about 15 microns or less, about 10 microns or less, about 5 microns or less, about 2 microns or less, or about 1 micron or less.
  • Each field emitter element includes a field emitter tip to emit electrons as described in greater detail below.
  • a staggered three-dimensional array can be configured as multiple two-dimensional arrays that are dynamically mounted, such that one or more of the two-dimensional arrays may be displaced, and thereby staggered, relative to the other two-dimensional arrays in the direction of the electron emission.
  • An electron beam unit according to the principles described herein includes a plurality of field emitter elements disposed in at least one field emitter array. Each field emitter element can be a gated vertical transistor, or an ungated vertical transistor, or a current controlled channel that is proximate to an optically-modulated current source.
  • Figure 6 shows a top view of one or more field emitter array regions disposed over the base portion of a modular housing unit of a non-limiting example electron beam source 600.
  • the example electron beam source 600 can be configured as a compact ion beam source described in connection with any of Figures 1 , 3 A, 3B, or 5.
  • Each array region 602 including an array of field emitter elements.
  • Each field emitter element of an example field emitter array can be formed as described in connection with any of the examples described herein.
  • Figure 6 shows a non-limiting example of an arrangement of a plurality of field emitter arrays 604 that are included in a field emitter array region.
  • the system may also include regions 606 that do not include field emitter elements.
  • An example compact ion beam source is configured with control and logic capabilities.
  • One or more logic chips and/or other control components can be disposed in a portion of the modular housing unit, such as but not limited to in the base portion.
  • the field emitter array can be controlled using a logical shift register of the logic chip. The shift register facilitates a pattern of "ON" and "OFF" regions to be stored on the logic chip.
  • the electron beam apparatus can be configured to allow selective activation of one or more of the field emitter elements, such as but not limited to, based on processor- executable instructions executed at a logic chip or other control component located in or coupled to the modular housing unit (including in the base portion).
  • each field emitter element can be configured as a high aspect-ratio structure having a first end and a second end. The first end of the field emitter element is disposed proximate to a base.
  • each field emitter element can include a current channel region disposed proximate to the first end, and a donor-doped region or an acceptor-doped region disposed proximate to the second end, thereby providing a field emitter element that acts as an ungated vertical transistor.
  • each field emitter element can include a current channel region disposed proximate to the first end, a donor-doped region or an acceptor-doped region disposed proximate to the second end, and a conductive material disposed at a portion of the field emitter element, with an insulator between the current channel and the conductive material (to act as a transistor gate electrode), thereby providing a field emitter element that acts as a gated vertical transistor.
  • the region donor-doped region acts as an accumulation layer.
  • the region acceptor-doped region acts as an inversion layer.
  • the donor-doped region or acceptor- doped region can be lightly doped or highly-doped.
  • the donor-doped region or acceptor-doped region of the current source can be formed from a semiconductor material that is doped with n-type dopants or p-type dopants such that its conductivity varies from more insulating (e.g., about 10 7 /cm 3 carrier density or less) to more conductive (e.g., about 10 l 6 /cm 3 carrier density or more), including values of carrier density within the range from about 1 0 7 /cm 3 to about 10 l 6 /cm 3 .
  • the donor-doped region can be formed from a Group II I-IV sem iconductor, such as but not limited to aluminum phosphide, aluminum arsenide, gallium arsenide, or gallium nitride, doped with selenium, tellurium, silicon, or germanium.
  • the donor-doped semiconductor material can be n- type doped GaAs (e.g., GaAs doped with Si).
  • the acceptor-doped region can be formed from a Group III-IV semiconductor doped with, e.g., silicon, germanium, beryllium, or cadmium.
  • the donor-doped region can be formed from silicon or germanium doped with phosphorus, arsenic, antimony, or bismuth.
  • the acceptor-doped region can be formed from silicon or germanium doped with boron, aluminum, or gallium.
  • the gate electrode layer can include at least two layers, including a dielectric layer disposed proximate to the field emitter elements and a conductive layer disposed over the dielectric layer.
  • the conductive layer can be formed from, as non-limiting examples, a conductive metal, a conductive metal oxide, or a doped semiconductor material.
  • the conductive layer can be based on gold, platinum copper, tantalum, tin, tungsten, titanium, tungsten, cobalt, chromium, silver, nickel or aluminum, or a binary or ternary system of any of these conductive materials.
  • the conductive layer can be based on a doped semiconductor material, such as but not limited to doped forms of amorphous silicon, poly-crystalline silicon, germanium, a carbon-based conductor, a III-V semiconductor system, or other semiconductor alloy system, or any combination of these doped semiconductor materials.
  • III-V semiconductor systems or semiconductor alloy systems include but are not limited to GaAs, InP, InAs, InSb, InGaAs, AIGaAs, InGaP, AlInAs, GaAsSb, AlGaP, CdZnTe, AlGaN, or any combination thereof.
  • the conductive layer can be formed from a heavily n-doped poly-crystalline silicon.
  • the at least one field emitter elements can be configured as an array of individually addressable electron sources, to generate individual focused or collimated electron beamlets.
  • the array can be formed as a one- dimensional array or a two-dimensional array.
  • a two-dimensional array can include greater than about 1 * 10 6 individually-addressable electron sources, such as but not limited to array densities of l x l O 8 electron sources (corresponding to 1 ⁇ ⁇ tip-to-tip spacing).
  • the field emitter tips of the field emitter elements can be fabricated to have a tip radius of less than about 10 nm.
  • the example field emitter tips can be fabricated to have a tip radius of about 1 nm or less, about 2 nm, about 3 nm, about 4 nm, about 5 nm, about 8 nm, about 10 nm, about 12 nm, about 15 nm or more.
  • Each field emitter element can be configured as having a substantially cylindrical geometry having a substantially circular cross-section (in a pillar structure).
  • the field emitter elements can be fabricated in arrays of longitudinal structures having differing geometries, including structures having substantially rectangular, triangular, oval or other polygonal cross-section, or structures having lateral dimension that taper or otherwise vary, including tapering from base to tip (such as pyramid-shape structures).
  • the 1 -D or 2-D arrays described herein could be configured for time-multiplexed, matrix-addressed and row-scanned operation of the field emitter elements.
  • the field emitter elements can be configured as arrays of individually addressable and temporally controllable electron sources such that the individual electron beam lets can be separately turned ON and OFF, at times on the order of nanoseconds to microseconds.
  • the field emitter elements also can be configured to generate differing strengths of individual the electron beamlets across the arrays. This allows spatial and temporal control of the emission of individual electron beamlets across the area of the field emitter array, and as a result control of the ion beamlets generated as a result of the ionization process.
  • the field emitter elements also can be configured for separately addressable control, such that the electron beamlets from the various windows, and the ion beams generated from the ionization, can be separately turned ON and OFF, both temporally and spatially, across the arrangement of membrane windows.
  • the compact ion beam sources can be configured for individually addressable and temporally controllable application of a positive potential difference between the gate electrode and individual or groups of the emitter tips of the field emitter arrays. This allows separately turning ON and OFF of ion beamlets generated through a field ionization mechanism, at times on the order of nanoseconds to microseconds. This provides spatially and/or temporally controlled individual ion beamlet sources across the area of the field emitter array.
  • the field emitter elements also can be configured for separately addressable control, such that the ion beamlets generated from the ionization from the various windows can be separately turned ON and OFF, both temporally and spatially, across the arrangement of membrane windows.
  • the field emitter elements can be made out of silicon, germanium, carbon, a Group III-V semiconductor system, or other semiconductor alloy system, or any combination of these semiconductor materials, or other conductive materials, as the current sources.
  • the conductive material can be but is not limited to a transition metal (including a refractory metal), a noble metal, a semiconductor, a semimetal, a metal alloy, or other conductive material.
  • the metal or metal alloy can include but is not limited to aluminum, or a transition metal, including copper, silver, gold, platinum, zinc, nickel, titanium, chromium, or palladium, tungsten, molybdenum, or any combination thereof, and any applicable metal alloy, including alloys with carbon.
  • the field emitter element can be a refractory metal.
  • the conductive material can be a conductive polymer or a metamaterial.
  • suitable conductive materials may include a semiconductor-based conductive material, including other silicon-based conductive material, indium-tin-oxide or other transparent conductive oxide, or a Group III-V conductor (including GaAs, InP, and GaN).
  • III-V semiconductor systems or semiconductor alloy systems include but are not limited to InAs, InSb, InGaAs, AlGaAs, InGaP, AlInAs, GaAsSb, AlGaP, CdZnTe, AIGaN, or any combination thereof.
  • the semiconductor-based conductive material can be doped.
  • the field emitter array can be formed from an array of high aspect- ratio nanoscale systems formed from conductive or semiconductor materials, including nanoparticles, nanoshells and/or nanowires.
  • field emitter array can be formed from an array of high aspect-ratio nanoscale systems of carbon, including single- walled and multi-walled carbon nanotubes, nanofibers, nanohorns, nanoscale heteroj unction structures, graphene-based nanostructures, and carbon nanoribbons (including graphene nanoribbons and graphitic nanoribbons).
  • the field emitter element can include diamond, or other conductive carbon-based material.
  • the field emitter element can be formed from an electrically non-conductive material that includes a coating or other layer of an electrically conductive material, such as but not limited to a thin coating of a noble or refractory metal on the emitter tip.
  • the field emitter tip can be formed from a portion of the field emitter element and/or can be formed from coating or otherwise layering a portion of the field emitter element with a conductive material (including any conductive material described herein).
  • the field emitter arrays described herein can be fabricated to have a high aspect ratio of height to lateral dimension, such as but not limited to, aspect ratios of height to lateral dimension ranging from about 5: 1 or more, about 10: 1 or more, about 50: 1 or more, about 100: 1 or more, about 200: 1 or more, about 500: 1 or more, about 800: 1 or more, about 1000: 1 or more, or about 5,000: 1 or more.
  • the field emitter elements can have a height of around 10 microns.
  • the array can include longitudinal structures of differing heights, such as but not limited to about 0.5 microns, about 1 micron, about 5 microns, about 15 microns, about 20 microns or about 30 microns or more.
  • the field emitter arrays described herein can be fabricated to provide current limiters in series, for uniformity and reliability.
  • the field emitter elements can be formed as a plurality of silicon pillar current limiters in series, which can facilitate greater uniformity and reliability.
  • An example compact ion beam source herein can also include a set of electrostatic electrodes.
  • the electrostatic electrodes could be integrated with each field emitter element for the purpose of shaping, and accelerating the electron beamlets (i.e., the electron beam from an individual or small number of field emitter element).
  • These electrostatic electrodes can include at least one additional extraction gates and/or one or more focusing lenses, to form collimated and/or focused electron beamlets.
  • micro fabricated Einzel lenses could be integrated with individual field emitters, or groupings of two more field emitters, to form collimated or focused beamlets.
  • the example compact ion beam source can also include additional microfabricated electron optical elements, such as but not limited to at least one acceleration grid and/or at least one stigmation corrector.
  • the example compact ion beam source can include types of electron optics to form a nano- electron optical column.
  • the electron optics can be electrostatic electron optics or magnetic electron optics.
  • An example compact ion beam source herein can be configured to act on each individual beamlets or on groups of beamlets. In the various examples herein, a beamlet could be generated by an individual field emitter, or a beamlet could be generated by a grouping of two more field emitters.
  • An example compact ion beam source herein can include arrays of field emitter elements that can be regulated to switch the emission current.
  • the emission current can be regulated by placing a current limiter (also referred to herein as a current channel region) in series with the field emitter element to controls the supply of electrons to the tunneling barrier.
  • a current limiter also referred to herein as a current channel region
  • the currents of all of the electron beams in an array can be equalized despite field emitter tip radii variations, resulting in more uniform emissions.
  • the current limiter can prevent premature emitter burn-out by the sharper emitter tips, and could also prevent premature burn-out of very sharp field ionizers (e.g., field emitters working in the field ionization mode).
  • the current limiter can also prevent arcing and the formation of a microplasma.
  • the regulated current limiters can be implemented to allow for the control of the emission current of individual field emitters.
  • a logic control element such as but not limited to a CMOS logic control chip
  • CMOS logic control chip can be coupled to a gate electrode of a vertical transistor formed from a field emitter element, to switch the transistor current source on and off, thereby providing a field emitter element that is a controlled current source.
  • An example compact ion beam source described herein can be used for a variety of applications, such as but not limited to, for food processing and preservation, environmental sample analysis (e.g., environmental SEM), compact neutron generators/sources, mass spectrometers, reactive ion etchers (without need for generating a plasma), air filtration, particulate matter detection, biological agent detection, and chemical agent detection.
  • environmental sample analysis e.g., environmental SEM
  • compact neutron generators/sources e.g., mass spectrometers
  • reactive ion etchers without need for generating a plasma
  • air filtration e.g., air filtration, particulate matter detection, biological agent detection, and chemical agent detection.
  • An example compact ion beam source described herein can be used as a portable neutron source that can be utilized for in-field radiography or sensing.
  • An example portable neutron source can, for example, be used for fast identification of the presence of explosives, drugs, pharmaceuticals, or other chemical materials or agents.
  • An example compact ion beam source according to the principles herein, including a compact ion beam source as described in connection with the example of any of Figure 1 , 3 A, 3B, 5 or 6, can be formed as a compact deuterium ion beam source.
  • a compact deuterium ion source (D + ) with a beam current of 0.5 mA with a volume of less than about 2 L, that weighs less than about 10 lbs, and that consumes less than about 200W of power could be used in a portable neutron source that is about the size of a toolbox with an effective spot size of about 2 mm (full width at half max (FWHM)).
  • the compact deuterium ion source also could be configured for hermetically-sealed operation.
  • a compact neutron source could be formed using a compact deuterium ion source and a neutron-rich target material, as described hereinabove. Such a neutron source could have a volume of less than about 8 L, weigh less than about 30 lbs, and consume less that about 400 W.
  • the neutron source can function using a triatated target through a D-T reaction, as follows:
  • the neutron source could have an operating lifetime of greater than about 1000 hours. This could be an advancement over current state of the art neutron sources, which often weigh more than 300 lbs., have a large footprint with a volume greater than about 100 L, and consume tens of kilowatts of power (requiring a three-phase power source). Such existing neutron sources are far from being toolbox size or considered portable. The lack of portable sources can be beneficial for portable nuclear radiography and sensing. The availability of a portable neutron source also can enable concepts of operation that are currently not feasible due to size, weight and power (SWaP) constraints.
  • SWaP size, weight and power
  • the example compact ion beam source can be formed as a deuterium ion source (D + ) with an output current of about 500 ⁇ in a compact format with a volume less than 2,000 cm 3 , weighing less than 10 pounds and consuming less than 200 W.
  • the compact deuterium ion source provides the ability to extract a D + beam without the need for external focusing elements and match the beam to the accelerator column.
  • the example compact deuterium ion source (D + ) can be integrated into a - 150 kV accelerator to produce neutrons from a tapered triatiated target, with an effective full width half maximum (FWHM) spot size of 2 mm when viewed on axis of the accelerator.
  • FWHM full width half maximum
  • the example deuterium ion source functions based on ionization of deuterium gas using arrays of high aspect ratio MEMS structures with small radii at the tip, which are operated in the either electron impact ionization (EII) mode or the field ionization (FI) mode.
  • the MEMS ionizer include self- aligned gated field emitter arrays, where each emitter is individually regulated with a current source in order to increase the resistance to thermal runaway and improve reliability.
  • Each field emitter array also has an integrated focus electrode.
  • the integrated focus electrode focuses electrons into the ionization region preventing divergence of the electron beam, and prevents ions from streaming back from the ionization region to damage the field emitter tip.
  • the integrated focus electrode also collimates ions for a compact ion beam source operated in the FI mode.
  • Figure 7A shows a schematic cross-sectional view of an example electron beam source including an array of field emitter elements.
  • the example electron beam source includes high aspect ratio silicon field emitter elements surrounded by an insulating dielectric of silicon nitride over a silicon substrate base.
  • the field emitter elements include silicon field emitter tips.
  • Extraction gate electrodes and focusing electrodes are disposed proximate to the field emitter tips.
  • Extraction gate electrodes and focusing electrodes are disposed over a thick dielectric stack of an insulating oxide.
  • the thick insulator (2 ⁇ - 4 ⁇ ) stacks are used to separate the gate electrode from the substrate of the field emitter tips.
  • a mesh accelerator/extractor is used to accelerate the emitted beam, which can be an electron beam or an ion beam depending on the mode of operation.
  • Figure 7B shows a cross-section of a fabricated single gate field-emission source (also referred to herein as a MEMs Gas Ionizer), that includes a plurality of high aspect ratio gated field emitter elements with small tip radii (also referred to herein as MEMS structures). Based on the small tip radius, high tip electric fields can be obtained at low applied voltages.
  • the gate is formed from poly-silicon and the field emitter pitch is about 1 ⁇ .
  • the compact ion beam sources including the MEMS field emitter structures of Figures 7A and 7B can be used to ionize the isotope, deuterium (D2) molecules in this example.
  • the arrays of MEMS self-aligned gate field emitter structures can be operated in either of two modes.
  • the first mode is the electron impact ionization (EII) mode in which the cold electrons emitted from the field emitter tip surface are accelerated to a potential difference ranging from about 200 V to about 1000 V to form an electron beam.
  • the applied potential difference can be of any value applied between the gate electrode and the field emitter tip that is sufficient to allow electron tunneling.
  • the second mode is the field ionization (FI) mode.
  • Figure 8 shows an example of a neutron source based on a deuterium ion beam source operating on the principles of electron impact ionization. Electrons are emitted from the conical tip surface when the tip surface barrier is deformed by an electric field through the application of a positive voltage between the self-aligned gate electrode and the field emitter tip. The small emitter tip radius results in very high electrostatic fields near the emitter tip surface resulting in electron tunneling. Emitted electrons are accelerated to the ionization chamber/region of the modular housing unit, where they collide with and ionize deuterium (neutral D 2 molecules). The collision leads to ionization and dissociation of the D 2 molecule. The isotope ions are then extracted into to an acceleration region for the neutron source where they follow a trajectory to a neutron-rich target. This can be a triatiated or deuterated target.
  • Figure 9 shows an example of a neutron source based on a deuterium ion beam source operating on the principles of field ionization.
  • Molecules of the isotope (D 2 ) are introduced at the tip of the MEMS field emitting elements.
  • the field emitter tips are biased at a positive potential with respect to the gate electrode, such that the isotope molecules encounter very high electrostatic field that severely deforms the molecules. Electrons tunnel from the isotope molecule to the field emitting tips, resulting in the isotope ions (D + ions).
  • the beam of D + ions are ejected from the emitter tip region and follow a trajectory towards a collector that is biased at the lowest potential.
  • the mesh accelerator/extractor of Figure 7A could be used as the collector based on the appropriate potential difference applied.
  • the beam of deuterium ions that are extracted by the mesh collector can be accelerated to a neutron-rich target biased at about - 150 kV, where neutrons are generated.
  • the neutron-rich target can be a triatiated or deuterated target.
  • the voltage applied at the gate electrode structure can be pulsed, resulting in pulse ion generation, and hence pulsed neutron generation.
  • the pulse mode is expected to have very fast operation ( «1 ns) because of the low capacitance between the gate electrode and the emitter.
  • the ionization efficiency ( ⁇ ⁇ ) is expressed based on the vacuum pressure and other geometric factors, as follows:
  • Ii on is the ion current
  • IE is the electron current
  • p is the number density of deuterium
  • L is the length of the ionization chamber in the current path
  • Ojotai is the ionization cross- section
  • P is the pressure
  • k is Boltzmann's constant
  • T is the absolute temperature in Kelvin.
  • Ionization efficiency of 0. 16 is demonstrated for argon when the ambient pressure is 20 mTorr using electron impact ionization principles.
  • An ion current of 22.5 ⁇ is generated with an electron current of 140 ⁇ at a pressure of 20 mTorr.
  • a linear dependence of the impact ionization efficiency on the ambient pressure is observed over many decades of pressure ranging from 1 0 "7 Torr to 100 mTorr.
  • Changing the tip spacing from 1 0 ⁇ to 3 ⁇ can result in a reduction of the cathode area by a factor of ten. See, e.g., A A Fomani et al, "Toward Amp-level Field Emission with Large area Arrays of Pt-coated Self-aligned Gated Nano-scale Tips," IEEE Transactions on Electron Devices, Vol. 61 , No. 7, July 2014, p. 2538.
  • a plasma is not ignited, it is possible to operate the field emitter in the electron impact ionization (EII) mode.
  • the pressure may not need to be reduced in order to avoid igniting plasma, and is estimated to be between about 1 .0 mTorr - about 5 mTorr.
  • the acceleration column has a voltage drop of about 1 50 kV. Decreasing the pressure to 2 mTorr can increase the current to generate an ion current of 500 ⁇ . At a pressure of about 2 mTorr, an electron emission current of 3 1 .25 mA can be used to generate an ion current of about 500 ⁇ . [0093] The results show that a compact ion beam source operated in a EII mode using the isotope D 2 can provide desirable performance. An estimate for the size, weight and power consumption of the compact ion beam source is provided.
  • Figure 1 1 shows an example ion pump based on electron impact ionization using a field emission array electron source.
  • the volume is about 7 cm x 7 cm x 1 cm ( ⁇ 50 cm 3 ).
  • the size of an example compact ion beam source herein can be comparable to or smaller than the ion pump shown in Figure 1 1.
  • the pump uses field emitted electrons to impact ionize gas molecules and embed the ions into a getter. See, e.g., A. A. Fomani et al, "Challenges of High Vacuum Pumping based on Impact Ionization and Ion Implantation Process," Technical Digest of the 27th International Vacuum Nanoelectronics Conference, July 2014, p. 210.
  • the estimated volume of an example compact ion beam source can be less than about 50 cm 3 (7 cm x 7 cm x 1 cm).
  • the electronics to control an example compact ion beam source also can be compact and lightweight. Assuming the electronics and the battery of an example compact ion beam source can have similar volumes to the pump, then the total volume can be less than about 150 cm 3 . If a 25 L bottle of deuterium (D 2 ) bottle is included, the volume is 850 cm 3 . An example compact ion beam source along with its drive electronics and battery could weigh less than about 1.5 lb. With the 25 L bottle of deuterium (D 2 ) bottle included, the weight is less than about 3.5 lbs.
  • the resulting power consumption can be about 10 Watts.
  • the power consumption is less than about 32 W for the modular unit.
  • the lifetime of an example compact ion beam source is also estimated. No significant erosion of the field emitter tips is expected as a result of back ion bombardment of the tips by D + , given its low atomic mass.
  • the lifetime can be improved by connecting in series with each field emitter element a current limiter. As shown in Figure 7B, the current limiter can be based on high aspect ratio silicon columns.
  • a single-gated field emitter structure can be used to focus the emitted electrons.
  • a double- gated field emitter structure can be used to focus the emitted electrons, and at the same time attract ions streaming back to the emitter tips from the ionization region.
  • the modular housing unit and window in the example compact ion beam source allows separation of the electron emitting and the ionization region.
  • the thin membrane can be made transparent to electrons while being impervious (or at least exhibit low permeability) to gas molecules.
  • the region of the compact modular housing with the field emitters can be maintained at ultra-high vacuum (about 10 " Torr), while the ionization region is maintained at about 1 mTorr. This can require an accelerating electrode within the UHV enclosure of the modular housing so that electrons are transmitted through the membrane.
  • Figure 12 shows a cross-sectional view of the structure of an example compact ion beam source 1200.
  • the example compact ion beam source is based on a two-dimensional array of double gated field emitter arrays (FEA).
  • the example compact ion beam source 1200 includes an electron beam unit 1202 and a chemical species source 1204.
  • the example electron beam unit 1202 includes a modular housing unit 1206 that is selectively impermeable to gasses including oxidizing gaseous molecules, an electron beam source 1208 (which can be a single-gated or double-gated FEA) disposed in the modular housing unit, and at least one accelerator component 1210 disposed in the modular housing unit 1206.
  • the modular housing unit 1206 includes a base portion 1212 and a membrane window 1214 that is selectively transmissive to electrons.
  • the compact ion beam source also includes Einzel lens 121 1 to focus the electron beam and an accelerator 1210 to accelerate the electron beam in a path directed at the window 1214 of the modular housing unit 1206.
  • the Einzel lens can be eliminated.
  • the chemical species source 1204 is disposed proximate to the membrane window 1214, and provides at least one chemical species that is ionizable to produce an ion beam on exposure to the electron beam.
  • the compact ion beam source includes output lens 1216 positioned outside the modular housing unit 1206 proximate to the window 1214.
  • the electron beam source 1208 of Figure 12 includes a plurality of field emitter elements, each having a first end disposed over the base portion 1212 and a field emitter tip at a second end, and the gate electrodes disposed to apply a potential difference relative to the field emitter tips.
  • the single-gated or double-gated field emitter structure can be used to focus the emitted electron beam (e ' ) 5 and at the same time attract ions streaming back to the emitter tips from the ionization region.
  • a field ionization current of 10 nA from He at a pressure of 1 Torr can be obtained. Operation of the example device is also demonstrated in an impact ionization mode.
  • Figure 13 shows a plot of an example distribution in emitter tip radius for a field ionization array fabricated using silicon etch and oxidation sharpening. A less uniform result can be obtained for metal tips deposited by angle evaporation.
  • the plot shows tip radius statistics measured across the die after field emission testing, exhibiting a log-normal distribution with a mean of 5.71 nm and a standard deviation of 1.38 nm.
  • Figure 14 shows a plot of an example of the effect of emitter tip radius on field ionization. The plot shows that fewer than all emitter tips at the leading edge of the log- normal distribution may be able to partake in field ionization, and some of the emitter tips may not. It provides an explanation for why the ionization current from gate field ionization arrays have not scaled with the array size and ionization current per tip is orders of magnitude below current from etched wires.
  • Figure 14 shows a simulation of the effect of the tip radii distribution on the field ionization turn-on voltage, indicating that small changes in tip radius can result in large changes in field ionization turn-on voltage.
  • Example compact ion beam sources can include field emitter arrays with more uniform tip radius distribution, resulting in an increased number of tips that field ionize gas molecules and contribute to the field ionization current. This could be accomplished by making the tip radius bigger but with reduced variation.
  • premature dielectric breakdown is mitigated by using novel and thick dielectric stacks and use emitters based on tip-on-a high aspect ratio column architecture.
  • the field emitter arrays can include field emitter elements having aspect ratio of 100: 1 and that are spaced 1 ⁇ apart and have very small gate apertures with diameter less than about 0.4 ⁇ .
  • the structure typically has tip radius between about 5 nm and about 10 nm, allowing high field to be formed on the emitter tip surface, and tunneling of electrons when a voltage is applied between the self-aligned proximate gate electrode and the tip. .
  • Figure 15 shows a plot of an example emitter and gate currents per tip as functions of gate voltage for four different sized 4 ⁇ pitch field emitter arrays (FEAs) within a single die.
  • the example compact ion beam sources herein include field emitter arrays with increased the tip radii (to about 10 nm). The current/tip scale with array size.
  • the example field emitter arrays are fabricated using lithography approaches that have better contrast such tri-level resist. It is demonstrated that the current per tip for arrays of 10 x 10, 20 x 20, 30 x 30 and 60 x 60 all had the same current/tip voltage characteristics and the currents all saturated to the same value, as shown in Figure 15.
  • Figure 16 shows the results of a simulation of the current-voltage characteristics of 320,000 tip field ionizer array with the average radius of 8 nm and standard deviation of 1 .4 nm.
  • the leading edge of the distribution has larger tip radius than the distribution in Figure 13 by about 2.5 nm (with the same standard deviation).
  • a lot more tips partake in field ionization though at a moderately higher voltage.
  • the turn-on voltage for field ionization could increase. If all our tips saturate and attain supply limited field ionization, analysis and calculations suggest that a current of 60 nA/tip at 1 Torr and 60 pA/tip at 1 mTorr could be obtained.
  • the example compact ion beam sources herein also could be used as ionizers for mass spectrometers and micro vacuum pumps.
  • the ion current scales with both pressure and electron current.
  • the pressure could be reduced to about 2 mTorr.
  • An electron current of 32 mA can be used to generate an ion current of about 500 mA.
  • An electron impact ionizer may be damaged by back streaming ion.
  • An example compact ion beam sources herein can be configured to mitigate the back streaming ion using as the double gated FEA.
  • Figures 17A - 17D show (A) an example schematic diagram of a single field emitter ballasted by an ungated FET, (B) an example circuit diagram of the VCL-FEA structure, (C) example SEMs of the completed VCL-FEA structure, and (D) a plot of the anode current-gate emitter voltage characteristics and FN plot of the anode current.
  • An example of fabrication of arrays of self-aligned double gate field emitter tips with high aspect ratio is as follows.
  • the example array has a tip-to-tip spacing of 1 ⁇ , emitter column diameters of 0.1 ⁇ and column height of 10 ⁇ resulting in aspect ratio of 100.
  • Self-aligned gates can be fabricated by filling the gap between the emitter tips using conformal films of Si0 2 and S13N4.
  • the conformal insulator layers can be planarized by chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • a doped poly silicon gate layer can be defined by poly-silicon deposition and CMP planarization to open up the apertures resulting in the example emitter element shown in Figure 17.
  • An example ion beam source based on these arrays can demonstrate high current density for Si FEAs (82 A/cm 2 ) and high current per tip (0.7 mA/tip).
  • the array can be fabricated with emitter elements column height of 10 ⁇ but increased tip-to-tip spacing of about 2.5 ⁇ and the column diameter to 250 nm.
  • the array can be formed with a tip-to-tip spacing of a lower value, such as but not limited to about 1 ⁇ .
  • a mesh global electrode that is not integrated can be used to protect the emitter tips from back streaming ions. This may cause increased power dissipation from interception of electrons by the mesh.
  • the back streaming ions could cause damage and blunt the emitter tips and thus reduce ionization current.
  • Using the double gated field emission/ionization structures can mitigate the back streaming ions.
  • a self-aligned second gate (focus) can be integrated above the plane of the first gate (extraction gate) and emitter tip.
  • a mesh global electrode that is not integrated nor self-aligned could be used to protect the emitter tips from back streaming ions.
  • a second gate (focus) could be biased at a voltage lower than the first gate and could serve the dual purpose of focusing emitted electrons and capturing or deflecting back streaming ions. In field ionization arrays, the second gate (focus) could serve the purpose of collimating ions that are generated at the emitter tip.
  • a voltage is applied between the gate and the emitter tip substrate, a field appears across the insulator stack, and it has a trapezoidal shape with barriers occurring at the insulator metal interface. In this case, it is the conduction band discontinuity between Si and Si0 2 .
  • the field is sufficiently high to form a triangular barrier leading electrons to tunnel from the Si conduction band to the conduction band of Si0 2 .
  • the mobility of electrons (or holes) in Si0 2 can be low and furthermore there is inelastic scattering from energetic electrons leading to damage of the Si0 2 and formation of traps, trapping of carriers and charge buildup. At higher fields, avalanche multiplication occurs and more charge is built up and trapped. When the charge build up reaches a critical level, catastrophic breakdown of the insulator stack can occur. In order to prevent the breakdown of the insulator stack, thick dielectric films can be used to reduce the electrostatic field in the oxide. Fabrication processes are provided that maintain small gate apertures in order to keep the field factor high and operating voltage low. In an example, the dielectric layers of the emitter arrays can be about 10 ⁇ thick, in contrast to typical values of 2.5 ⁇ .
  • Figure 1 8 shows a plot of an example ionization efficiency for the example electron impact ionizer pump shown in Figure 1 1 .
  • the ionization efficiency ( ⁇ , ⁇ ⁇ ⁇ ) is ⁇ 10 "4 over a wide pressure range.
  • the ionization efficiency is about 0.0001 at 1 0 '5 Torr, as shown in Figure 1 8.
  • An extrapolation of these results to 1 mTorr suggest that an ionization efficiency of 0.01 can be obtained. See, e.g., A. A. Fomani et al, "Challenges of High Vacuum Pumping based on Impact Ionization and Ion Implantation Process," Technical Digest of the 27th International Vacuum Nanoelectronics Conference, July 2014, p. 210.
  • the example compact ion beam sources including the dense arrays of silicon nanowires embedded in a dielectric matrix have a potentially broad range of applications, including electronics and optoelectronic devices.
  • the example compact ion beam sources allows for many novel new application that were not possible previously based on some of the existing technology.
  • FIGs. 1 to 18 The above-described embodiments of the invention may be implemented in any of numerous ways, including through implementations provided in FIGs. 1 to 18 attached hereto.
  • some embodiments may be implemented using hardware, software or a combination thereof.
  • the software code may be executed on any suitable processor or collection of processors, whether provided in a single device or computer or distributed among multiple devices/computers.
  • the technology described herein may be embodied as a method, of which at least one example has been provided.
  • the acts performed as part of the method may be ordered in any suitable way. Accordingly, embodiments may be constructed in which acts are performed in an order different than illustrated, which may include performing some acts simultaneously, even though shown as sequential acts in illustrative embodiments.
  • a reference to "A and/or B", when used in conjunction with open-ended language such as “comprising” can refer, in one embodiment, to A only (optionally including elements other than B); in another embodiment, to B only (optionally including elements other than A); in yet another embodiment, to both A and B (optionally including other elements); etc.
  • the phrase "at least one,” in reference to a list of one or more elements, should be understood to mean at least one element selected from any one or more of the elements in the list of elements, but not necessarily including at least one of each and every element specifically listed within the list of elements and not excluding any combinations of elements in the list of elements.
  • This definition also allows that elements may optionally be present other than the elements specifically identified within the list of elements to which the phrase "at least one" refers, whether related or unrelated to those elements specifically identified.
  • At least one of A and B can refer, in one embodiment, to at least one, optionally including more than one, A, with no B present (and optionally including elements other than B); in another embodiment, to at least one, optionally including more than one, B, with no A present (and optionally including elements other than A); in yet another embodiment, to at least one, optionally including more than one, A, and at least one, optionally including more than one, B (and optionally including other elements); etc.

Abstract

Example compact ion beam sources are provided that can be used to generate ion beams using chemical species and field emitter elements or field emitter arrays. In some example, the compact ion beam source can be implemented as neutron sources based on ion beam bombardment of neutron-rich targets.

Description

COMPACT ION BEAM SOURCES FORMED AS MODULAR IONIZER
CROSS-REFERENCE TO RELATED APPLICATION
[0001] This application claims a priority benefit to U.S. Provisional Application No. 62/096,618, filed on December 24, 2014, entitled "MEMS Ionizers for Compact Neutron Sources," which is hereby incorporated by reference in its entirety, including drawings.
GOVERNMENT SUPPORT
[0002] This invention was made with Government support under Grant No. HR001 1 - 15- 2-0012 awarded by the Defense Advanced Research Projects Agency (DARPA). The government has certain rights in the invention.
BACKGROUND
[0003] Commercially available high current ion sources are based on microwave plasma generation, typically powered by a magnetron. They have demonstrated very high currents (>100 mA D+) and high current density (>350 mA/cm2). Most commercial available plasma sources are based on an electron cyclotron resonance (ECR) process to increase the ion density in a plasma. The ECR process requires high magnetic fields and hence large magnets. While they are able to reach high current density and high ion current, they consume a lot of power (kW) and are not power efficient. They also have large footprint (volume and "linear" dimensions) and heavy weight due to the associated components, such as microwave power supplies, matching networks and magnets. They often require significant thermal management and liquid cooling. Efforts to reduce the size of these types of RF ion source have focused on operating the microwave plasma devices in the inductively coupled mode to increase the atomic ion fraction in the plasma. By reducing the power required, the size of the power supply could be reduced. However, a microwave power supply fundamentally still requires huge infrastructure, and there is still a huge power, size and weight overhead for generating ions. Hence, they are not viable options as portable ion sources. SUMMARY
[0004] According to the systems, methods, apparatus described herein, compact ion beam source formed with modular ionizers are provided. The modular ion beam sources are formed from field emitter elements disposed in a modular housing, where the modular housing formed with a window that is selectively transmissive to an electron beam, or the ion beam, or both, and that has low permeability to gasses including oxidizing gaseous species.
[0005] The example systems, methods, and apparatus are configured to generate an ion beam through either a process of field ionization, or a process of electron impact ionization, or some combination of the two processes.
[0006] In an example, the modular ionizer can be formed from one or more field emitter arrays, each array including a plurality of individually switched field emitter elements serving as a nanoscale electron sources. Any example field emitter array herein can be configured for spatial and/or temporal switching of selective field emitter elements of the field emitter arrays.
[0007] Example systems, methods, and apparatus herein provide an example compact ion beam source that includes an electron beam unit and a chemical species source. The electron beam unit includes a modular housing unit that is selectively impermeable to gasses including oxidizing gaseous molecules, an electron beam source disposed in the modular housing unit, and at least one anode component disposed in the modular housing unit. The modular housing unit includes a base portion and a window that is selectively transmissive to electrons. The electron beam source includes at least one field emitter element having a first end disposed over the base portion and a field emitter tip disposed proximate to a second end that is opposite to the first end, and at least one gate electrode disposed proximate to the second end of the at least one field emitter element. The at least one gate electrode is used to apply a potential difference proximate to the field emitter tip of the at least one field emitter elements, thereby extracting electrons from the at least one field emitter tip to form an electron beam. The at least one anode component is disposed in the modular housing unit and is configured to accelerate the electron beam in a path directed at the window of the modular housing unit. The chemical species source is disposed proximate to the window of the electron beam unit, to provide at least one chemical species that is ionizable on exposure to the electron beam to produce the ion beam.
[0008] Example systems, methods, and apparatus herein provide an example compact ion beam source that includes a modular housing unit includingg a base portion and at least one chemical species disposed proximate to the field emitter tip. A first end of at least one field emitter element is disposed over the base portion, and a field emitter tip is disposed proximate to a second end that is opposite to the first end. At least one gate electrode is disposed proximate to the second end of the at least one field emitter element. The at least one chemical species is disposed proximate to the field emitter tip. The at least one chemical species is ionizable to produce an ion beam in response to a positive potential difference being at the field emitter tip of the at least one field emitter element relative to the at least one gate electrode.
[0009] The modular housing unit of the example compact ion source can include a window that is selectively transmissive to the ion beam.
[0001] It should be appreciated that all combinations of the foregoing concepts and additional concepts discussed in greater detail below (provided such concepts are not mutually inconsistent) are contemplated as being part of the inventive subject matter disclosed herein. In particular, all combinations of claimed subject matter appearing at the end of this disclosure are contemplated as being part of the inventive subject matter disclosed herein. It should also be appreciated that terminology explicitly employed herein that also may appear in any disclosure incorporated by reference should be accorded a meaning most consistent with the particular concepts disclosed herein.
BRIEF DESCRIPTION OF THE DRAWINGS
[0002] The skilled artisan will understand that the drawings primarily are for illustrative purposes and are not intended to limit the scope of the inventive subject matter described herein. The drawings are not necessarily to scale; in some instances, various aspects of the inventive subject matter disclosed herein may be shown exaggerated or enlarged in the drawings to facilitate an understanding of different features. In the drawings, like reference characters generally refer to like features (e.g., functionally similar and/or structurally similar elements). [0003] Figure 1 shows a cross-sectional view of an example compact ion beam source, according the principles herein.
[0004] Figure 2 shows an example mechanism for generating an ion beam using electron impact ionization, according the principles herein
[0005] Figure 3A shows a cross-sectional view of another example compact ion beam source, according the principles herein
[0006] Figure 3B shows a cross-sectional view of another example compact ion beam source, according the principles herein
[0007] Figure 4 shows an example mechanism for generating an ion beam using field ionization, according the principles herein
[0008] Figure 5 shows a cross-sectional view of another example compact ion beam source, according the principles herein
[0009] Figure 6 shows a top view of example field emitter array regions, according the principles herein.
[0010] Figure 7A shows a schematic cross-sectional view of an example electron beam source, according the principles herein
[0011] Figure 7B shows a cross-section, of a fabricated single gate field-emission source, according the principles herein.
[0012] Figure 8 shows an example of a neutron source based on a deuterium ion beam source, according the principles herein.
[0013] Figure 9 shows an example of a neutron source based on a deuterium ion beam source, according the principles herein.
[0014] Figure 1 0 shows an example of a beam of deuterium ions directed at a target, according the principles herein.
[0015] Figure 1 1 shows an example ion pump, according the principles herein. [0016] Figure 12 shows a cross-sectional view of the structure of an example compact ion beam source, according the principles herein.
[0017] Figure 13 shows a plot of an example distribution in emitter tip radius for a field ionization array, according the principles herein.
[0018] Figure 14 shows a plot of an example of the effect of emitter tip radius on field ionization, according the principles herein.
[0019] Figure 1 5 shows a plot of example emitter and gate currents per tip as functions of gate voltage, according the principles herein.
[0020] Figure 16 shows the results of a simulation of the current-voltage characteristics of a 320,000 tip field ionizer array, according the principles herein.
[0021] Figures 17A - 17D show an example schematic diagram (17A), circuit diagram ( 17B), scanning electron microscope image (17C), and current-gate emitter voltage characteristics and Fowler-Nordheim plot of anode current (17D), according the principles herein.
[0022] Figure 18 shows a plot of an example ionization efficiency for the example electron impact ionizer pump of Figure 1 1, according the principles herein.
[0023] The features and advantages of the present invention will become more apparent from the detailed description set forth below when taken in conjunction with the drawings.
DETAILED DESCRIPTION
[0024] Following below are more detailed descriptions of various concepts related to, and embodiments of, inventive systems, methods and apparatus for providing example compact ion beam source including field emitters, and example neutron sources based on the compact ion beam source. It should be appreciated that various concepts introduced above and described in greater detail below may be implemented in any of numerous ways, as the disclosed concepts are not limited to any particular manner of implementation. Examples of specific implementations and applications are provided primarily for illustrative purposes. [0025] It also should be appreciated that all combinations of the concepts discussed in greater detail below (provided such concepts are not mutually inconsistent) are contemplated as being part of the inventive subject matter disclosed herein. It also should be appreciated that terminology explicitly employed herein that also may appear in any disclosure incorporated by reference should be accorded a meaning most consistent with the particular concepts disclosed herein.
[0026] As used herein, the term "includes" means includes but is not limited to, the term "including" means including but not limited to. The term "based on" means based at least in part on.
[0027] With respect to substrates or other surfaces described herein in connection with various examples of the principles herein, any references to "top" surface and "bottom" surface are used primarily to indicate relative position, alignment and/or orientation of various elements/components with respect to the substrate and each other, and these terms do not necessarily indicate any particular frame of reference (e.g., a gravitational frame of reference). Thus, reference to a "bottom" of a substrate or a layer does not necessarily require that the indicated surface or layer be facing a ground surface. Similarly, terms such as "over," "under," "above," "beneath" and the like do not necessarily indicate any particular frame of reference, such as a gravitational frame of reference, but rather are used primarily to indicate relative position, alignment and/or orientation of various elements/components with respect to the substrate (or other surface) and each other. The terms "disposed on" and "disposed over" encompass the meaning of "embedded in," including "partially embedded in." In addition, reference to feature A being "disposed on," "disposed between," or "disposed over" feature B encompasses examples where feature A is in contact with feature B, as well as examples where other layers and/or other components are positioned between feature A and feature B.
[0028] As used herein, the term "chemical species" encompasses atoms, molecules, molecular fragments, and ions. The chemical species can be in the form of a solid, liquid, or gas. [0029] Example systems, methods, and apparatus herein provide compact ion beam source that can be used to generate ion beams using field emitter elements or field emitter arrays.
[0030] The example compact ion beam source according to the principles herein exhibit increased lifetime and performance by introducing features that act to focus the electron or ion beam and protect the field emitter tips from bombardment by back streaming ions.
[0031] The instant disclosure also describes example systems, methods, and apparatus for fabrication of self-aligned single-gate or double-gate high aspect ratio field emitter tip structures, reduction of emitter tip damage from back ion bombardment, and reduction of the likelihood of premature dielectric breakdown due to high voltage operation, especially in the field ionization mode.
[0032] The example compact ion beam source can be configured to generate the ion beams based on an electron impact ionization mechanism or a field ionization mechanism.
[0033] In an example of operation based on an electron impact ionization mechanism, a chemical species is exposed to an electron beam from the field emitter elements. The impact of the electron beam with the chemical species causes ionization based on the electron impact ionization mechanism, to generate the ions. The collisions can be elastic or inelastic, resulting in the creation of ions or reactive excited radicals. In some examples, the energy of the electron beam can be transferred to cause promotion of electrons of molecules of the chemical species to higher energy levels, thereby creating excited radicals. The ions resulting from the electron impact ionization mechanism can be used for processes such as reactive ion etching.
[0034] In an example of operation based on a field ionization mechanism, the ions form from the chemical species that is within the vicinity of the potential difference generated between emitter tip of the field emitter element and the gate electrode. A positive potential difference generated between the gate electrode and the field emitter tip causes electron tunneling from the chemical species to the field emitter tip, resulting in ionization of the chemical species and generation of the ions. [0035] The example systems, methods and apparatus herein provide spatial and temporal control of the ion beam emissions from the compact ion beam sources.
[0036] In a non-limiting example, the compact ion beam source can be formed into neutron sources based on ion beam bombardment of neutron-rich targets.
[0037] Figure 1 shows a cross-sectional view of an example compact ion beam source 100 according the principles taught herein. The example compact ion beam source 100 includes an electron beam unit 102 and a chemical species source 104. The example electron beam unit 102 includes a modular housing unit 106 that is selectively impermeable to gasses (including oxidizing gaseous molecules), an electron beam source 108 disposed in the modular housing unit, and at least one anode component 1 10 disposed in the modular housing unit 106. The modular housing unit 106 includes a base portion 1 12 and a window 1 14 that is selectively transmissive to electrons. The electron beam source 108 includes at least one field emitter element 1 16 disposed over the base portion 1 12 and at least one gate electrode 1 18. Field emitter element 1 16 is formed with a first end 120 disposed proximate to the base portion 1 12 and a second end formed with a field emitter tip 122. The gate electrode 1 18 is disposed proximate to the second end of the field emitter element 1 16, and is used to apply a potential difference proximate to the field emitter tip, thereby extracting electrons from the at least one field emitter tip, through electron tunneling, to form the electron beam (e') emitted from the electron beam source 108. The anode component 1 10 is configured to accelerate the electron beam in a path directed at the window 1 14 of the modular housing unit 106. The chemical species source 104 is disposed proximate to the window of the electron beam unit, and provides at least one chemical species that is ionizable to produce an ion beam on exposure to the electron beam.
[0038] Figure 2 shows an example mechanism for generating an ion beam using electron impact ionization. In this mechanism, an electron beam 202 from an electron beam source is accelerated towards the chemical species 204 from a chemical species source. As shown in Figure 2, the impact of the electron beam with the chemical species causes ionization 206 that generates the ion beam. In a non-limiting example implementation, the example compact ion beam source of Figure 1 can be used to generate an ion beam based on the electron impact ionization mechanism. As also shown in Figure 2, a neutron-rich target 208 can be dispose in the emission path of the ion beam, such that the interaction of the ion beam with the neutron- rich target generates a neutron beam.
[0039] Figure 3A shows a cross-sectional view of another example compact ion beam source 300 according the principles taught herein. The compact ion beam source 300 includes a modular housing unit 302 including a base portion 304. The compact ion beam source 300 also includes at least one field emitter element 306 disposed over the base portion 304, at least one gate electrode 308, and a chemical species source 309. Field emitter element 306 is formed with a first end 310 disposed proximate to the base portion 304 and a second end formed with a field emitter tip 312. The gate electrode 308 is disposed proximate to the second end of the field emitter element 306, and is used to apply a potential difference between the gate electrode 308 and the field emitter tip 312. The chemical species source 309 provides at least one chemical species proximate to the field emitter tip 312 that is ionizable to produce an ion beam in response to a positive potential difference being at the field emitter tip 312 of the at least one field emitter element 306 relative to the gate electrode 308.
[0040] Figure 3B shows a cross-sectional view of another example compact ion beam source 350 according the principles taught herein. The compact ion beam source 350 includes a modular housing unit 352 including a base portion 304 and a window 355 that is selectively transmissive to the ion beam. The compact ion beam source 350 also includes at least one field emitter element 356 disposed over the base portion 354, at least one gate electrode 358, and a chemical species source 359. Field emitter element 356 is formed with a first end 360 disposed proximate to the base portion 354 and a second end formed with a field emitter tip 362. The gate electrode 358 is disposed proximate to the second end of the field emitter element 356, and is used to apply a potential difference between the gate electrode 358 and the field emitter tip 362. The chemical species source 359 provides at least one chemical species proximate to the field emitter tip 362 that is ionizable to produce an ion beam in response to a positive potential difference being at the field emitter tip 362 of the at least one field emitter element 356 relative to the gate electrode 358. The example of Figure 3B also may include an optional collector component 364 disposed in the modular housing unit 352 and configured to apply a lower potential than the gate electrode 358, to accelerate the ion beam towards the window. [0041] In any example implementation according to the principles of Figure 3A or 3B, at least one collector component can be disposed in the modular housing unit and configured to apply a lower potential than the gate electrode to accelerate the ion beam.
[0042] The type of ion beam generated through a field ionization process can depend on the magnitude of the positive potential difference established. As a non-limiting examples, a field of about 2.5 x 108 V/cm (or about 25 V/nm) can be used to generate H+ or D+ ions, rather than H2+ or D2+ ions.
[0043] Figure 4 shows an example mechanism for generating an ion beam using field ionization. . In this example, a chemical species source is used to provide at least one chemical species proximate to the field emitter tip of a field emitter element. In this mechanism, a positive potential difference between the gate electrode and the field emitter tip causes electron tunneling 402 from the chemical species to the field emitter tip, resulting in ionization 404 to provide the ions. The ions are accelerated away from the gate electrode as an ion beam. In a non-limiting example implementation, the example compact ion beam source of Figure 3 A or 3B can be used to generate an ion beam based on this mechanism. As also shown in Figure 4, a neutron-rich target 406 can be dispose in the emission path of the ion beam to generate a neutron beam.
[0044] Figure 5 shows a cross-sectional view of another example compact ion beam source 500 according the principles taught herein. The example compact ion beam source 500 includes an electron beam unit 502 and a chemical species source 504. The example electron beam unit 502 includes a modular housing unit 506 that is selectively impermeable to gasses (including oxidizing gaseous molecules), an electron beam source 508 disposed in the modular housing unit, and at least one accelerator component 510 disposed in the modular housing unit 506. The modular housing unit 506 includes a base portion 512 and a window 514 that is selectively transmissive to electrons. The compact ion beam source may include at least one focusing lens 51 1 to focus the electron beam and at least one anode component 510 to accelerate the electron beam in a path directed at the window 514 of the modular housing unit 506. The chemical species source 504 is disposed proximate to the window of the electron beam unit, and provides at least one chemical species that is ionizable to produce an ion beam on exposure to the electron beam. As shown in Figure 5, the compact ion beam source also can include at least one output lens 516 positioned outside the modular housing unit 506 proximate to the window 514. The electron beam source 508 of Figure 5 includes at least one field emitter element having a first end disposed over the base portion 512 and a field emitter tip at a second end. At least one gate electrode is disposed to apply a potential difference proximate to the field emitter tip, thereby extracting electrons from the at least one field emitter tip (via electron tunneling) to form the electron beam (e ) emitted from the electron beam source 508.
[0045] In an example, the at least one focusing lens 51 1 can be formed as Einzel lens, which includes three or more sets of cylindrical or rectangular lenses in series.
[0046] In any example implementation, including in connection with the example of any of Figures 1 , 3 A, 3B, or 5, the at least one chemical species can be a gas, a liquid or solid particulate matter. In an example, a chemical species that is ordinarily in solid particulate form or liquid form can be introduced by the chemical species source in the form of an aerosol carried by a propellant gas.
[0047] In any example implementation, including in connection with the example of any of Figures 1 , 3 A, 3B, or 5, the chemical species source can be a hose, syringe, a nozzle, or other apparatus that can be used to introduce the gas, aerosol, or other form of the chemical species to the desired location.
[0048] In any example implementation, including in connection with the example of any of Figures 1 , 3 A, 3B, or 5, the at least one chemical species can include deuterium, tritium, or other neutron-rich isotope.
[0049] In an example implementation, including in connection with the example of any of Figures 1 , 3A, 3B, or 5, the neutron-rich target material can include a triatiated or a deuterated target material.
[0050] In an example implementation, including in connection with the example of any of Figures 1 , 3 A, 3B, or 5, the voltage applied at the gate electrode structure can be pulsed, resulting in pulse ion generation, and hence pulsed neutron generation.
[0051] In any example implementation, including in connection with the example of any of Figure 1 , 3A, 3B or 5, the modular housing unit can be configured to exhibit low permeability to gasses including oxidizing gaseous molecules. Exposure to the oxidizing molecules can reduce the performance of the field emitter element and gate electrodes of the compact ion beam sources. In an example, the modular housing unit can be configured to be impermeable to the oxidizing gaseous molecules.
[0052] In any example implementation, including in connection with the example of either of Figure 1 , 3B, or 5, the modular housing unit and the window can be configured to form a hermetic seal of the compact ion beam source. The modular housing unit can include an inert gas. In any example, the modular housing of the compact ion beam source can be maintained at a partial pressure, up to an including being a vacuum, prior to introduction of the chemical species. As non-limiting examples, the pressure in the modular housing unit can range from about 1 .0 milliTorr to about 1.0 x 10"9 Torr. In an example, the region of the compact ion source with the field emitters can be maintained at a high or ultra-high vacuum (such as but not limited to ranging from about 1.0 x 10'7 Torr to about 1.0 x 10'9 Torr), while the region in which the ionization occurs can be maintained at a higher pressure (such as but not limited to about 1.0 mTorr).
[0053] In any example implementation, including in connection with the example of either of Figure 1 , 3A, 3B, or 5, the modular housing unit can include a plurality of sections that allow passage of multiple, differing beams (whether an electron beam or an ion beam), or differing beams in differing regions. One or more of the plurality of sections can be formed with a window that is transmissive of the desired beam (whether an electron beam or an ion beam).
[0054] In any example implementation, including in connection with the example of any of Figure 1 , 3 A, 3B, or 5, the window can be a membrane formed from a single layer, or multiple layers, of a two-dimensional material. As non-limiting examples, the window can be formed from graphene, molybdenum disulphide, a nitride material, an oxide material, silicene, or any combination thereof. In an example, the membrane window can be formed from any two-dimensional allotrope of carbon, such as but not limited to graphene. In another example, the membrane window can be formed from any two-dimensional allotrope of silicon, such as but not limited to silicene. [0055] In any example implementation, including in connection with the example of any of Figure 1 , 3 A, 3B, or 5, the window can be formed as a thin film layer of a material that is an insulating dielectric in bulk form. The insulating dielectric material can include an oxide, a nitride, or any other dielectric form of aluminum, silicon, germanium, gallium, indium, tin, antimony, tellurium, bismuth, titanium, vanadium, chromium, manganese, cobalt, nickel, copper, zinc, zirconium, niobium, molybdenum, palladium, cadmium, hafnium, tantalum, or tungsten, or any combination thereof.
[0056] In any example implementation, including in connection with the example of any of Figure 1 , 3 A, 3B, or 5, the base portion can include at least one logic chip, with at least one field emitter element being in electrical communication with the at least one logic chip. The at least one logic chip includes at least one processing unit. The logic chip can be programmed to cause the spatial and/or temporal control of ion beam emissions, as described herein.
[0057] In any example implementation, including in connection with the example of any of Figure 1 , 3 A, 3B, or 5, the field emitter elements can be formed as one-dimensional arrays, two-dimensional arrays, or staggered three-dimensional arrays. Each array includes a number of field emitter elements disposed over a substrate. The field emitter elements each have a longitudinal symmetry, with a high aspect ratio of height to lateral dimension as described in greater detail below. As a non-limiting example, the field emitter elements can be fabricated in an array at a pitch of about 45 microns or less, about 40 microns or less, about 30 microns or less, about 20 microns or less, about 15 microns or less, about 10 microns or less, about 5 microns or less, about 2 microns or less, or about 1 micron or less. Each field emitter element includes a field emitter tip to emit electrons as described in greater detail below.
[0058] A staggered three-dimensional array according to the systems, apparatus and methods herein can be configured as multiple two-dimensional arrays that are dynamically mounted, such that one or more of the two-dimensional arrays may be displaced, and thereby staggered, relative to the other two-dimensional arrays in the direction of the electron emission. [0059] An electron beam unit according to the principles described herein includes a plurality of field emitter elements disposed in at least one field emitter array. Each field emitter element can be a gated vertical transistor, or an ungated vertical transistor, or a current controlled channel that is proximate to an optically-modulated current source.
[0060] Figure 6 shows a top view of one or more field emitter array regions disposed over the base portion of a modular housing unit of a non-limiting example electron beam source 600. The example electron beam source 600 can be configured as a compact ion beam source described in connection with any of Figures 1 , 3 A, 3B, or 5. Each array region 602 including an array of field emitter elements. Each field emitter element of an example field emitter array can be formed as described in connection with any of the examples described herein. Figure 6 shows a non-limiting example of an arrangement of a plurality of field emitter arrays 604 that are included in a field emitter array region. The system may also include regions 606 that do not include field emitter elements.
[0061] An example compact ion beam source according to the principles described herein is configured with control and logic capabilities. One or more logic chips and/or other control components can be disposed in a portion of the modular housing unit, such as but not limited to in the base portion. In an example, the field emitter array can be controlled using a logical shift register of the logic chip. The shift register facilitates a pattern of "ON" and "OFF" regions to be stored on the logic chip.
[0062] The electron beam apparatus can be configured to allow selective activation of one or more of the field emitter elements, such as but not limited to, based on processor- executable instructions executed at a logic chip or other control component located in or coupled to the modular housing unit (including in the base portion).
[0063] In an example, to make contact between the logic chip and the electron beam source, 3-D integration techniques such as through-silicon vias (TSVs) or micro-bump bonds, can be used to transfer the signals between the logic chips. The control and logic can be implemented using any of the control logic chips described in connection with any of the examples herein. [0064] In any example herein, each field emitter element can be configured as a high aspect-ratio structure having a first end and a second end. The first end of the field emitter element is disposed proximate to a base. In an example, each field emitter element can include a current channel region disposed proximate to the first end, and a donor-doped region or an acceptor-doped region disposed proximate to the second end, thereby providing a field emitter element that acts as an ungated vertical transistor. In another example, each field emitter element can include a current channel region disposed proximate to the first end, a donor-doped region or an acceptor-doped region disposed proximate to the second end, and a conductive material disposed at a portion of the field emitter element, with an insulator between the current channel and the conductive material (to act as a transistor gate electrode), thereby providing a field emitter element that acts as a gated vertical transistor.
[0065] In an example where a donor-doped region is disposed proximate to the second end, the region donor-doped region acts as an accumulation layer. In an example where an acceptor-doped region is disposed proximate to the second end, the region acceptor-doped region acts as an inversion layer. In any example herein, the donor-doped region or acceptor- doped region can be lightly doped or highly-doped.
[0066] In an example, the donor-doped region or acceptor-doped region of the current source (including a field emitter element) can be formed from a semiconductor material that is doped with n-type dopants or p-type dopants such that its conductivity varies from more insulating (e.g., about 107/cm3 carrier density or less) to more conductive (e.g., about 10 l 6/cm3 carrier density or more), including values of carrier density within the range from about 1 07/cm3 to about 10l 6/cm3. In an example, the donor-doped region can be formed from a Group II I-IV sem iconductor, such as but not limited to aluminum phosphide, aluminum arsenide, gallium arsenide, or gallium nitride, doped with selenium, tellurium, silicon, or germanium. As a non-limiting example, the donor-doped semiconductor material can be n- type doped GaAs (e.g., GaAs doped with Si). In an example, the acceptor-doped region can be formed from a Group III-IV semiconductor doped with, e.g., silicon, germanium, beryllium, or cadmium. In another example, the donor-doped region can be formed from silicon or germanium doped with phosphorus, arsenic, antimony, or bismuth. In another example, the acceptor-doped region can be formed from silicon or germanium doped with boron, aluminum, or gallium. [0067] In any example implementation, including in connection with the example of any of Figure 1 , 3 A, 3B, 5 or 6, the gate electrode layer can include at least two layers, including a dielectric layer disposed proximate to the field emitter elements and a conductive layer disposed over the dielectric layer. The conductive layer can be formed from, as non-limiting examples, a conductive metal, a conductive metal oxide, or a doped semiconductor material. For example, the conductive layer can be based on gold, platinum copper, tantalum, tin, tungsten, titanium, tungsten, cobalt, chromium, silver, nickel or aluminum, or a binary or ternary system of any of these conductive materials. In another example, the conductive layer can be based on a doped semiconductor material, such as but not limited to doped forms of amorphous silicon, poly-crystalline silicon, germanium, a carbon-based conductor, a III-V semiconductor system, or other semiconductor alloy system, or any combination of these doped semiconductor materials. Non-limiting examples of III-V semiconductor systems or semiconductor alloy systems include but are not limited to GaAs, InP, InAs, InSb, InGaAs, AIGaAs, InGaP, AlInAs, GaAsSb, AlGaP, CdZnTe, AlGaN, or any combination thereof. For example, the conductive layer can be formed from a heavily n-doped poly-crystalline silicon.
[0068] In any example implementation, including in connection with the example of any of Figure 1 , 3 A, 3B, 5 or 6, the at least one field emitter elements can be configured as an array of individually addressable electron sources, to generate individual focused or collimated electron beamlets. As non-limiting examples, the array can be formed as a one- dimensional array or a two-dimensional array. For example, a two-dimensional array can include greater than about 1 * 106 individually-addressable electron sources, such as but not limited to array densities of l x l O8 electron sources (corresponding to 1 μιη tip-to-tip spacing).
(0069] . The field emitter tips of the field emitter elements can be fabricated to have a tip radius of less than about 10 nm. In various examples, the example field emitter tips can be fabricated to have a tip radius of about 1 nm or less, about 2 nm, about 3 nm, about 4 nm, about 5 nm, about 8 nm, about 10 nm, about 12 nm, about 15 nm or more. Each field emitter element can be configured as having a substantially cylindrical geometry having a substantially circular cross-section (in a pillar structure). In other examples, the field emitter elements can be fabricated in arrays of longitudinal structures having differing geometries, including structures having substantially rectangular, triangular, oval or other polygonal cross-section, or structures having lateral dimension that taper or otherwise vary, including tapering from base to tip (such as pyramid-shape structures).
[0070] In any example implementation, including in connection with the example of any of Figure 1 , 3 A, 3B, 5 or 6, the 1 -D or 2-D arrays described herein could be configured for time-multiplexed, matrix-addressed and row-scanned operation of the field emitter elements.
[0071] In any example implementation, including in connection with the example of any of Figures 1 , 3 A, 3B, 5 or 6, the field emitter elements can be configured as arrays of individually addressable and temporally controllable electron sources such that the individual electron beam lets can be separately turned ON and OFF, at times on the order of nanoseconds to microseconds. The field emitter elements also can be configured to generate differing strengths of individual the electron beamlets across the arrays. This allows spatial and temporal control of the emission of individual electron beamlets across the area of the field emitter array, and as a result control of the ion beamlets generated as a result of the ionization process. In an example where separate the modular electron beam units are formed with multiple differing windows, the field emitter elements also can be configured for separately addressable control, such that the electron beamlets from the various windows, and the ion beams generated from the ionization, can be separately turned ON and OFF, both temporally and spatially, across the arrangement of membrane windows.
[0072] In any example implementation, including in connection with the example of any of Figures 1 , 3 A, 3B, 5 or 6, the compact ion beam sources can be configured for individually addressable and temporally controllable application of a positive potential difference between the gate electrode and individual or groups of the emitter tips of the field emitter arrays. This allows separately turning ON and OFF of ion beamlets generated through a field ionization mechanism, at times on the order of nanoseconds to microseconds. This provides spatially and/or temporally controlled individual ion beamlet sources across the area of the field emitter array. In an example where separate the modular electron beam units are formed with multiple differing windows, the field emitter elements also can be configured for separately addressable control, such that the ion beamlets generated from the ionization from the various windows can be separately turned ON and OFF, both temporally and spatially, across the arrangement of membrane windows. [0073] In a non-limiting example, the field emitter elements can be made out of silicon, germanium, carbon, a Group III-V semiconductor system, or other semiconductor alloy system, or any combination of these semiconductor materials, or other conductive materials, as the current sources. In any of the examples described herein, the conductive material can be but is not limited to a transition metal (including a refractory metal), a noble metal, a semiconductor, a semimetal, a metal alloy, or other conductive material. In an example, the metal or metal alloy can include but is not limited to aluminum, or a transition metal, including copper, silver, gold, platinum, zinc, nickel, titanium, chromium, or palladium, tungsten, molybdenum, or any combination thereof, and any applicable metal alloy, including alloys with carbon. In an example, the field emitter element can be a refractory metal. In an example, the conductive material can be a conductive polymer or a metamaterial. In other non-limiting example, suitable conductive materials may include a semiconductor-based conductive material, including other silicon-based conductive material, indium-tin-oxide or other transparent conductive oxide, or a Group III-V conductor (including GaAs, InP, and GaN). Other non-limiting examples of III-V semiconductor systems or semiconductor alloy systems include but are not limited to InAs, InSb, InGaAs, AlGaAs, InGaP, AlInAs, GaAsSb, AlGaP, CdZnTe, AIGaN, or any combination thereof. The semiconductor-based conductive material can be doped. The field emitter array can be formed from an array of high aspect- ratio nanoscale systems formed from conductive or semiconductor materials, including nanoparticles, nanoshells and/or nanowires. As another example, field emitter array can be formed from an array of high aspect-ratio nanoscale systems of carbon, including single- walled and multi-walled carbon nanotubes, nanofibers, nanohorns, nanoscale heteroj unction structures, graphene-based nanostructures, and carbon nanoribbons (including graphene nanoribbons and graphitic nanoribbons). In other examples, the field emitter element can include diamond, or other conductive carbon-based material. In any of the examples herein, the field emitter element can be formed from an electrically non-conductive material that includes a coating or other layer of an electrically conductive material, such as but not limited to a thin coating of a noble or refractory metal on the emitter tip.
[0074] In an example aspect, the field emitter tip can be formed from a portion of the field emitter element and/or can be formed from coating or otherwise layering a portion of the field emitter element with a conductive material (including any conductive material described herein). [0075] As a non-limiting example, the field emitter arrays described herein can be fabricated to have a high aspect ratio of height to lateral dimension, such as but not limited to, aspect ratios of height to lateral dimension ranging from about 5: 1 or more, about 10: 1 or more, about 50: 1 or more, about 100: 1 or more, about 200: 1 or more, about 500: 1 or more, about 800: 1 or more, about 1000: 1 or more, or about 5,000: 1 or more. In an example, the field emitter elements can have a height of around 10 microns. In other examples, the array can include longitudinal structures of differing heights, such as but not limited to about 0.5 microns, about 1 micron, about 5 microns, about 15 microns, about 20 microns or about 30 microns or more.
[0076] As a non-limiting example, the field emitter arrays described herein can be fabricated to provide current limiters in series, for uniformity and reliability. For example, the field emitter elements can be formed as a plurality of silicon pillar current limiters in series, which can facilitate greater uniformity and reliability.
[0077] An example compact ion beam source herein can also include a set of electrostatic electrodes. The electrostatic electrodes could be integrated with each field emitter element for the purpose of shaping, and accelerating the electron beamlets (i.e., the electron beam from an individual or small number of field emitter element). These electrostatic electrodes can include at least one additional extraction gates and/or one or more focusing lenses, to form collimated and/or focused electron beamlets. As a non-limiting example, micro fabricated Einzel lenses could be integrated with individual field emitters, or groupings of two more field emitters, to form collimated or focused beamlets. The example compact ion beam source can also include additional microfabricated electron optical elements, such as but not limited to at least one acceleration grid and/or at least one stigmation corrector. The example compact ion beam source can include types of electron optics to form a nano- electron optical column. In various examples, the electron optics can be electrostatic electron optics or magnetic electron optics. An example compact ion beam source herein can be configured to act on each individual beamlets or on groups of beamlets. In the various examples herein, a beamlet could be generated by an individual field emitter, or a beamlet could be generated by a grouping of two more field emitters. [0078] An example compact ion beam source herein can include arrays of field emitter elements that can be regulated to switch the emission current. In an example, the emission current can be regulated by placing a current limiter (also referred to herein as a current channel region) in series with the field emitter element to controls the supply of electrons to the tunneling barrier. In an example, by modulating the emission current of individual field emitter elements, the currents of all of the electron beams in an array can be equalized despite field emitter tip radii variations, resulting in more uniform emissions. The current limiter can prevent premature emitter burn-out by the sharper emitter tips, and could also prevent premature burn-out of very sharp field ionizers (e.g., field emitters working in the field ionization mode). The current limiter can also prevent arcing and the formation of a microplasma.
[0079] For any example compact ion beam source herein, the regulated current limiters can be implemented to allow for the control of the emission current of individual field emitters. In a first example, a logic control element (such as but not limited to a CMOS logic control chip) can be coupled to a gate electrode of a vertical transistor formed from a field emitter element, to switch the transistor current source on and off, thereby providing a field emitter element that is a controlled current source.
[0080] An example compact ion beam source described herein can be used for a variety of applications, such as but not limited to, for food processing and preservation, environmental sample analysis (e.g., environmental SEM), compact neutron generators/sources, mass spectrometers, reactive ion etchers (without need for generating a plasma), air filtration, particulate matter detection, biological agent detection, and chemical agent detection.
[0081] An example compact ion beam source described herein can be used as a portable neutron source that can be utilized for in-field radiography or sensing. An example portable neutron source can, for example, be used for fast identification of the presence of explosives, drugs, pharmaceuticals, or other chemical materials or agents.
[0082] An example compact ion beam source according to the principles herein, including a compact ion beam source as described in connection with the example of any of Figure 1 , 3 A, 3B, 5 or 6, can be formed as a compact deuterium ion beam source. [0083] A compact deuterium ion source (D+) with a beam current of 0.5 mA with a volume of less than about 2 L, that weighs less than about 10 lbs, and that consumes less than about 200W of power could be used in a portable neutron source that is about the size of a toolbox with an effective spot size of about 2 mm (full width at half max (FWHM)). The compact deuterium ion source also could be configured for hermetically-sealed operation. A compact neutron source could be formed using a compact deuterium ion source and a neutron-rich target material, as described hereinabove. Such a neutron source could have a volume of less than about 8 L, weigh less than about 30 lbs, and consume less that about 400 W. The neutron source can function using a triatated target through a D-T reaction, as follows:
Figure imgf000023_0001
or using a deuterated target through the D-D reaction, as follows:
Figure imgf000023_0002
The neutron source could have an operating lifetime of greater than about 1000 hours. This could be an advancement over current state of the art neutron sources, which often weigh more than 300 lbs., have a large footprint with a volume greater than about 100 L, and consume tens of kilowatts of power (requiring a three-phase power source). Such existing neutron sources are far from being toolbox size or considered portable. The lack of portable sources can be beneficial for portable nuclear radiography and sensing. The availability of a portable neutron source also can enable concepts of operation that are currently not feasible due to size, weight and power (SWaP) constraints.
[0084] The example compact ion beam source can be formed as a deuterium ion source (D+) with an output current of about 500 μΑ in a compact format with a volume less than 2,000 cm3, weighing less than 10 pounds and consuming less than 200 W. The compact deuterium ion source provides the ability to extract a D+ beam without the need for external focusing elements and match the beam to the accelerator column. The example compact deuterium ion source (D+) can be integrated into a - 150 kV accelerator to produce neutrons from a tapered triatiated target, with an effective full width half maximum (FWHM) spot size of 2 mm when viewed on axis of the accelerator. The example deuterium ion source functions based on ionization of deuterium gas using arrays of high aspect ratio MEMS structures with small radii at the tip, which are operated in the either electron impact ionization (EII) mode or the field ionization (FI) mode. The MEMS ionizer include self- aligned gated field emitter arrays, where each emitter is individually regulated with a current source in order to increase the resistance to thermal runaway and improve reliability. Each field emitter array also has an integrated focus electrode. The integrated focus electrode focuses electrons into the ionization region preventing divergence of the electron beam, and prevents ions from streaming back from the ionization region to damage the field emitter tip. The integrated focus electrode also collimates ions for a compact ion beam source operated in the FI mode.
[0085] Figure 7A shows a schematic cross-sectional view of an example electron beam source including an array of field emitter elements. The example electron beam source includes high aspect ratio silicon field emitter elements surrounded by an insulating dielectric of silicon nitride over a silicon substrate base. The field emitter elements include silicon field emitter tips. Extraction gate electrodes and focusing electrodes are disposed proximate to the field emitter tips. Extraction gate electrodes and focusing electrodes are disposed over a thick dielectric stack of an insulating oxide. The thick insulator (2 μηι - 4 μηι) stacks are used to separate the gate electrode from the substrate of the field emitter tips. A mesh accelerator/extractor is used to accelerate the emitted beam, which can be an electron beam or an ion beam depending on the mode of operation.
[0086] Figure 7B shows a cross-section of a fabricated single gate field-emission source (also referred to herein as a MEMs Gas Ionizer), that includes a plurality of high aspect ratio gated field emitter elements with small tip radii (also referred to herein as MEMS structures). Based on the small tip radius, high tip electric fields can be obtained at low applied voltages. The gate is formed from poly-silicon and the field emitter pitch is about 1 μιτι.
[0087] The compact ion beam sources including the MEMS field emitter structures of Figures 7A and 7B can be used to ionize the isotope, deuterium (D2) molecules in this example. The arrays of MEMS self-aligned gate field emitter structures can be operated in either of two modes. The first mode is the electron impact ionization (EII) mode in which the cold electrons emitted from the field emitter tip surface are accelerated to a potential difference ranging from about 200 V to about 1000 V to form an electron beam. The applied potential difference can be of any value applied between the gate electrode and the field emitter tip that is sufficient to allow electron tunneling. The second mode is the field ionization (FI) mode.
[0088] Figure 8 shows an example of a neutron source based on a deuterium ion beam source operating on the principles of electron impact ionization. Electrons are emitted from the conical tip surface when the tip surface barrier is deformed by an electric field through the application of a positive voltage between the self-aligned gate electrode and the field emitter tip. The small emitter tip radius results in very high electrostatic fields near the emitter tip surface resulting in electron tunneling. Emitted electrons are accelerated to the ionization chamber/region of the modular housing unit, where they collide with and ionize deuterium (neutral D2 molecules). The collision leads to ionization and dissociation of the D2 molecule. The isotope ions are then extracted into to an acceleration region for the neutron source where they follow a trajectory to a neutron-rich target. This can be a triatiated or deuterated target.
[0089] Figure 9 shows an example of a neutron source based on a deuterium ion beam source operating on the principles of field ionization. Molecules of the isotope (D2) are introduced at the tip of the MEMS field emitting elements. The field emitter tips are biased at a positive potential with respect to the gate electrode, such that the isotope molecules encounter very high electrostatic field that severely deforms the molecules. Electrons tunnel from the isotope molecule to the field emitting tips, resulting in the isotope ions (D+ ions). The beam of D+ ions are ejected from the emitter tip region and follow a trajectory towards a collector that is biased at the lowest potential. For example, the mesh accelerator/extractor of Figure 7A could be used as the collector based on the appropriate potential difference applied.
[0090] As shown in Figure 10, the beam of deuterium ions that are extracted by the mesh collector can be accelerated to a neutron-rich target biased at about - 150 kV, where neutrons are generated. The neutron-rich target can be a triatiated or deuterated target.
[0091] In either the EII or FI mode of operation, the voltage applied at the gate electrode structure can be pulsed, resulting in pulse ion generation, and hence pulsed neutron generation. The pulse mode is expected to have very fast operation («1 ns) because of the low capacitance between the gate electrode and the emitter. [0092] Based on results using field emission arrays in electron impact ionizers for mass spectrometry and vacuum pump applications, the ionization efficiency (Ιΐοη Ιε) is expressed based on the vacuum pressure and other geometric factors, as follows:
!^ = p x L x aTolal {T) = ^ x L x aTolal {T)
where Iion is the ion current, IE is the electron current p is the number density of deuterium, L is the length of the ionization chamber in the current path, Ojotai is the ionization cross- section, P is the pressure, k is Boltzmann's constant and T is the absolute temperature in Kelvin. Ionization efficiency of 0. 16 is demonstrated for argon when the ambient pressure is 20 mTorr using electron impact ionization principles. An ion current of 22.5 μΑ is generated with an electron current of 140 μΑ at a pressure of 20 mTorr. A linear dependence of the impact ionization efficiency on the ambient pressure is observed over many decades of pressure ranging from 1 0"7 Torr to 100 mTorr. See, e.g., Velasquez Garcia et al. "CNT- Based MEMS/NEMS Gas Ionizers for Portable Mass Spectrometry Applications" Journal of MicroElectroMechanical Systems, Vol. 19, No. 3, June 2010, p. 484. Using these operating parameters, in order to obtain an ion current of 500 μΑ, it is estimated that an electron current of 3. 1 25 mA should be accelerated into the impact ionization region. Currents of 1 0 mA CW can be obtained from our Pt coated Si tip gated field emitters which have an area of 0.32 cm2 and 320,000 nanoscale emitters. The emitter tip pitch is 10 μιτι, the gate insulator stack thickness is 2.5 μηι and the gate aperture is 3 μπι. Changing the tip spacing from 1 0 μιη to 3 μηι can result in a reduction of the cathode area by a factor of ten. See, e.g., A A Fomani et al, "Toward Amp-level Field Emission with Large area Arrays of Pt-coated Self-aligned Gated Nano-scale Tips," IEEE Transactions on Electron Devices, Vol. 61 , No. 7, July 2014, p. 2538. Provided a plasma is not ignited, it is possible to operate the field emitter in the electron impact ionization (EII) mode. The pressure may not need to be reduced in order to avoid igniting plasma, and is estimated to be between about 1 .0 mTorr - about 5 mTorr. The acceleration column has a voltage drop of about 1 50 kV. Decreasing the pressure to 2 mTorr can increase the current to generate an ion current of 500 μΑ. At a pressure of about 2 mTorr, an electron emission current of 3 1 .25 mA can be used to generate an ion current of about 500 μΑ. [0093] The results show that a compact ion beam source operated in a EII mode using the isotope D2 can provide desirable performance. An estimate for the size, weight and power consumption of the compact ion beam source is provided.
[0094] Figure 1 1 shows an example ion pump based on electron impact ionization using a field emission array electron source. The volume is about 7 cm x 7 cm x 1 cm (<50 cm3). The size of an example compact ion beam source herein can be comparable to or smaller than the ion pump shown in Figure 1 1. The pump uses field emitted electrons to impact ionize gas molecules and embed the ions into a getter. See, e.g., A. A. Fomani et al, "Challenges of High Vacuum Pumping based on Impact Ionization and Ion Implantation Process," Technical Digest of the 27th International Vacuum Nanoelectronics Conference, July 2014, p. 210. The estimated volume of an example compact ion beam source can be less than about 50 cm3 (7 cm x 7 cm x 1 cm). The electronics to control an example compact ion beam source also can be compact and lightweight. Assuming the electronics and the battery of an example compact ion beam source can have similar volumes to the pump, then the total volume can be less than about 150 cm3. If a 25 L bottle of deuterium (D2) bottle is included, the volume is 850 cm3. An example compact ion beam source along with its drive electronics and battery could weigh less than about 1.5 lb. With the 25 L bottle of deuterium (D2) bottle included, the weight is less than about 3.5 lbs. Assuming an impact ionization efficiency of 0.01 and anode voltage of 200 V and an electron current of 50 mA to generate an ion current of 500 μΑ, the resulting power consumption can be about 10 Watts. With the 25 L bottle of deuterium (D2) bottle included, the power consumption is less than about 32 W for the modular unit.
[0095] The lifetime of an example compact ion beam source is also estimated. No significant erosion of the field emitter tips is expected as a result of back ion bombardment of the tips by D+, given its low atomic mass. The lifetime can be improved by connecting in series with each field emitter element a current limiter. As shown in Figure 7B, the current limiter can be based on high aspect ratio silicon columns. In an example, a single-gated field emitter structure can be used to focus the emitted electrons. In another example, a double- gated field emitter structure can be used to focus the emitted electrons, and at the same time attract ions streaming back to the emitter tips from the ionization region. This deflects them from going to the emitter, as also shown in Figure 7B. The modular housing unit and window in the example compact ion beam source allows separation of the electron emitting and the ionization region. The thin membrane can be made transparent to electrons while being impervious (or at least exhibit low permeability) to gas molecules. In the example compact ion beam source, the region of the compact modular housing with the field emitters can be maintained at ultra-high vacuum (about 10" Torr), while the ionization region is maintained at about 1 mTorr. This can require an accelerating electrode within the UHV enclosure of the modular housing so that electrons are transmitted through the membrane.
[0096] Figure 12 shows a cross-sectional view of the structure of an example compact ion beam source 1200. The example compact ion beam source is based on a two-dimensional array of double gated field emitter arrays (FEA). The example compact ion beam source 1200 includes an electron beam unit 1202 and a chemical species source 1204. The example electron beam unit 1202 includes a modular housing unit 1206 that is selectively impermeable to gasses including oxidizing gaseous molecules, an electron beam source 1208 (which can be a single-gated or double-gated FEA) disposed in the modular housing unit, and at least one accelerator component 1210 disposed in the modular housing unit 1206. The modular housing unit 1206 includes a base portion 1212 and a membrane window 1214 that is selectively transmissive to electrons. In this example, the compact ion beam source also includes Einzel lens 121 1 to focus the electron beam and an accelerator 1210 to accelerate the electron beam in a path directed at the window 1214 of the modular housing unit 1206. In another example, the Einzel lens can be eliminated. The chemical species source 1204 is disposed proximate to the membrane window 1214, and provides at least one chemical species that is ionizable to produce an ion beam on exposure to the electron beam. As shown in Figure 12, the compact ion beam source includes output lens 1216 positioned outside the modular housing unit 1206 proximate to the window 1214. The electron beam source 1208 of Figure 12 includes a plurality of field emitter elements, each having a first end disposed over the base portion 1212 and a field emitter tip at a second end, and the gate electrodes disposed to apply a potential difference relative to the field emitter tips. The single-gated or double-gated field emitter structure can be used to focus the emitted electron beam (e')5 and at the same time attract ions streaming back to the emitter tips from the ionization region. [0097] In operation in the field ionization mode, a field ionization current of 10 nA from He at a pressure of 1 Torr can be obtained. Operation of the example device is also demonstrated in an impact ionization mode. In order to obtain a current of 500 μΑ, a 5 x 105 fold increase in ionization current is used. It is observed that fewer than all the field emitter tips, and perhaps only one or a few tips, could contribute to the field ionization current at any bias voltage before saturating at the supply limited current, if there is some non-uniformity of the emitter tip radii. This can affect operation in the field ionization mode, since the current- voltage characteristics for field ionizers has an exponential dependence in the barrier-limited regime which governs the onset of field ionization. The relationship between field ionization current, Ijon, and the applied gate voltage, VG, can be expressed as:
Figure imgf000029_0001
where is a pre-factor that depends on the supply of gas molecules and hence pressure (P), B is a field emission constant, I is trie ionization potential of the gas molecule, φ is the work function of the emitter tip, VG is the applied gate voltage and β is the field factor. To the first order the field factor can be expressed as ? « - . Thus a distribution of r suggests a
r
distribution of β. This suggests that the onset of field ionization occurs at varying gate voltages and the emitter tips with small tip radius can turn-on at much lower voltages than those that have larger tip radius. See, e.g., A. A. Fomani, et al., "Low- Voltage Field Ionization of Gases Up to Torr-Level Pressures Using Massive Arrays of Self-Aligned Gated Nanoscale Tips," IEEE Transactions on Electron Devices, IEEE Transactions on Electron Devices, Vol. 61 , No. 5, May 2014, 9. 1520.
[0098] Figure 13 shows a plot of an example distribution in emitter tip radius for a field ionization array fabricated using silicon etch and oxidation sharpening. A less uniform result can be obtained for metal tips deposited by angle evaporation. The plot shows tip radius statistics measured across the die after field emission testing, exhibiting a log-normal distribution with a mean of 5.71 nm and a standard deviation of 1.38 nm.
[0099] Figure 14 shows a plot of an example of the effect of emitter tip radius on field ionization. The plot shows that fewer than all emitter tips at the leading edge of the log- normal distribution may be able to partake in field ionization, and some of the emitter tips may not. It provides an explanation for why the ionization current from gate field ionization arrays have not scaled with the array size and ionization current per tip is orders of magnitude below current from etched wires. Figure 14 shows a simulation of the effect of the tip radii distribution on the field ionization turn-on voltage, indicating that small changes in tip radius can result in large changes in field ionization turn-on voltage.
[00100] The results show that some tips that have small tip radius can field ionize and the majority of tips cannot ionize gas molecules unless much larger gate voltages are applied and at which point the tips with much smaller radius can be supply limited. To the first order, the dependence of fractional change in the field ionization onset voltage on the fractional change in the tip radius is linear, i.e.,
[00101] Example compact ion beam sources according to the principles herein can include field emitter arrays with more uniform tip radius distribution, resulting in an increased number of tips that field ionize gas molecules and contribute to the field ionization current. This could be accomplished by making the tip radius bigger but with reduced variation. In the example compact ion beam sources herein, premature dielectric breakdown is mitigated by using novel and thick dielectric stacks and use emitters based on tip-on-a high aspect ratio column architecture. The field emitter arrays can include field emitter elements having aspect ratio of 100: 1 and that are spaced 1 μπι apart and have very small gate apertures with diameter less than about 0.4 μηι. The structure typically has tip radius between about 5 nm and about 10 nm, allowing high field to be formed on the emitter tip surface, and tunneling of electrons when a voltage is applied between the self-aligned proximate gate electrode and the tip. .
[00102] Figure 15 shows a plot of an example emitter and gate currents per tip as functions of gate voltage for four different sized 4 μιτι pitch field emitter arrays (FEAs) within a single die. The example compact ion beam sources herein include field emitter arrays with increased the tip radii (to about 10 nm). The current/tip scale with array size. The example field emitter arrays are fabricated using lithography approaches that have better contrast such tri-level resist. It is demonstrated that the current per tip for arrays of 10 x 10, 20 x 20, 30 x 30 and 60 x 60 all had the same current/tip voltage characteristics and the currents all saturated to the same value, as shown in Figure 15. Uniformity was obtained through a combination of mask layout, resist processing, silicon etching and oxidation. If similar tip radius uniformity could be obtained, it is expected that field ionization current would also scale with the number of tips. These results show that it is possible to obtain uniform tips and emission.
[00103] Figure 16 shows the results of a simulation of the current-voltage characteristics of 320,000 tip field ionizer array with the average radius of 8 nm and standard deviation of 1 .4 nm. The leading edge of the distribution has larger tip radius than the distribution in Figure 13 by about 2.5 nm (with the same standard deviation). A lot more tips partake in field ionization though at a moderately higher voltage. The turn-on voltage for field ionization could increase. If all our tips saturate and attain supply limited field ionization, analysis and calculations suggest that a current of 60 nA/tip at 1 Torr and 60 pA/tip at 1 mTorr could be obtained. The example compact ion beam sources herein also could be used as ionizers for mass spectrometers and micro vacuum pumps. The ion current scales with both pressure and electron current. For the sealed tube operation of the example compact ion beam sources herein, the pressure could be reduced to about 2 mTorr. An electron current of 32 mA can be used to generate an ion current of about 500 mA. An electron impact ionizer may be damaged by back streaming ion. An example compact ion beam sources herein can be configured to mitigate the back streaming ion using as the double gated FEA.
[00104] Figures 17A - 17D show (A) an example schematic diagram of a single field emitter ballasted by an ungated FET, (B) an example circuit diagram of the VCL-FEA structure, (C) example SEMs of the completed VCL-FEA structure, and (D) a plot of the anode current-gate emitter voltage characteristics and FN plot of the anode current.
[00105] An example of fabrication of arrays of self-aligned double gate field emitter tips with high aspect ratio is as follows. The example array has a tip-to-tip spacing of 1 μηι, emitter column diameters of 0.1 μηι and column height of 10 μηι resulting in aspect ratio of 100. Self-aligned gates can be fabricated by filling the gap between the emitter tips using conformal films of Si02 and S13N4. The conformal insulator layers can be planarized by chemical mechanical polishing (CMP). A doped poly silicon gate layer can be defined by poly-silicon deposition and CMP planarization to open up the apertures resulting in the example emitter element shown in Figure 17. An example ion beam source based on these arrays can demonstrate high current density for Si FEAs (82 A/cm2) and high current per tip (0.7 mA/tip). In another example, the array can be fabricated with emitter elements column height of 10 μιη but increased tip-to-tip spacing of about 2.5 μηι and the column diameter to 250 nm. In another example, the array can be formed with a tip-to-tip spacing of a lower value, such as but not limited to about 1 μπι. In another example, in place of a second gate, a mesh global electrode that is not integrated can be used to protect the emitter tips from back streaming ions. This may cause increased power dissipation from interception of electrons by the mesh.
[00106] The back streaming ions could cause damage and blunt the emitter tips and thus reduce ionization current. Using the double gated field emission/ionization structures can mitigate the back streaming ions. In an example, a self-aligned second gate (focus) can be integrated above the plane of the first gate (extraction gate) and emitter tip. In another example, a mesh global electrode that is not integrated nor self-aligned could be used to protect the emitter tips from back streaming ions. A second gate (focus) could be biased at a voltage lower than the first gate and could serve the dual purpose of focusing emitted electrons and capturing or deflecting back streaming ions. In field ionization arrays, the second gate (focus) could serve the purpose of collimating ions that are generated at the emitter tip.
[00107] In an example, the self-aligned gate of the field emitter /ionizer structure can be supported by a dielectric stack including Si02 [EG = 9 eV] and S13N4 [EG = 5 eV] that have reasonably high bandgap. When a voltage is applied between the gate and the emitter tip substrate, a field appears across the insulator stack, and it has a trapezoidal shape with barriers occurring at the insulator metal interface. In this case, it is the conduction band discontinuity between Si and Si02. At high voltages, the field is sufficiently high to form a triangular barrier leading electrons to tunnel from the Si conduction band to the conduction band of Si02. The mobility of electrons (or holes) in Si02 can be low and furthermore there is inelastic scattering from energetic electrons leading to damage of the Si02 and formation of traps, trapping of carriers and charge buildup. At higher fields, avalanche multiplication occurs and more charge is built up and trapped. When the charge build up reaches a critical level, catastrophic breakdown of the insulator stack can occur. In order to prevent the breakdown of the insulator stack, thick dielectric films can be used to reduce the electrostatic field in the oxide. Fabrication processes are provided that maintain small gate apertures in order to keep the field factor high and operating voltage low. In an example, the dielectric layers of the emitter arrays can be about 10 μπι thick, in contrast to typical values of 2.5 μηι.
[00108] Figure 1 8 shows a plot of an example ionization efficiency for the example electron impact ionizer pump shown in Figure 1 1 . The ionization efficiency (Ι,ΟΙΙ ΙΕ) is ~ 10"4 over a wide pressure range. The ionization efficiency is about 0.0001 at 1 0'5 Torr, as shown in Figure 1 8. An extrapolation of these results to 1 mTorr suggest that an ionization efficiency of 0.01 can be obtained. See, e.g., A. A. Fomani et al, "Challenges of High Vacuum Pumping based on Impact Ionization and Ion Implantation Process," Technical Digest of the 27th International Vacuum Nanoelectronics Conference, July 2014, p. 210.
[00109] The example compact ion beam sources including the dense arrays of silicon nanowires embedded in a dielectric matrix have a potentially broad range of applications, including electronics and optoelectronic devices. The example compact ion beam sources allows for many novel new application that were not possible previously based on some of the existing technology.
Conclusion:
[00110] While various inventive embodiments have been described and illustrated herein, those of ordinary skill in the art will readily envision a variety of other means and/or structures for performing the function and/or obtaining the results and/or one or more of the advantages described herein, and each of such variations and/or modifications is deemed to be within the scope of the inventive embodiments described herein. More generally, those ski lled in the art will readily appreciate that all parameters, dimensions, materials, and configurations described herein are meant to be examples and that the actual parameters, dimensions, materials, and/or configurations will depend upon the specific application or applications for which the inventive teachings is/are used. Those skilled in the art will recognize, or be able to ascertain using no more than routine experimentation, many equivalents to the specific inventive embodiments described herein. It is, therefore, to be understood that the foregoing embodiments are presented by way of example only and that inventive embodiments may be practiced otherwise than as specifically described. Inventive embodiments of the present disclosure are directed to each individual feature, system, article, material, kit, and/or method described herein. In addition, any combination of two or more such features, systems, articles, materials, kits, and/or methods, if such features, systems, articles, materials, kits, and/or methods are not mutually inconsistent, is included within the inventive scope of the present disclosure.
[00111] The above-described embodiments of the invention may be implemented in any of numerous ways, including through implementations provided in FIGs. 1 to 18 attached hereto. For example, some embodiments may be implemented using hardware, software or a combination thereof. When any aspect of an embodiment is implemented at least in part in software, the software code may be executed on any suitable processor or collection of processors, whether provided in a single device or computer or distributed among multiple devices/computers.
[001121 Also, the technology described herein may be embodied as a method, of which at least one example has been provided. The acts performed as part of the method may be ordered in any suitable way. Accordingly, embodiments may be constructed in which acts are performed in an order different than illustrated, which may include performing some acts simultaneously, even though shown as sequential acts in illustrative embodiments.
[00113] All definitions, as defined and used herein, should be understood to control over dictionary definitions, definitions in documents incorporated by reference, and/or ordinary meanings of the defined terms.
[00114] The indefinite articles "a" and "an," as used herein in the specification, unless clearly indicated to the contrary, should be understood to mean "at least one."
[00115] The phrase "and/or," as used herein in the specification, should be understood to mean "either or both" of the elements so conjoined, i.e., elements that are conjunctively present in some cases and disjunctively present in other cases. Multiple elements listed with "and/or" should be construed in the same fashion, i.e., "one or more" of the elements so conjoined. Other elements may optionally be present other than the elements specifically identified by the "and/or" clause, whether related or unrelated to those elements specifically identified. Thus, as a non-limiting example, a reference to "A and/or B", when used in conjunction with open-ended language such as "comprising" can refer, in one embodiment, to A only (optionally including elements other than B); in another embodiment, to B only (optionally including elements other than A); in yet another embodiment, to both A and B (optionally including other elements); etc.
[00116] As used herein in the specification, "or" should be understood to have the same meaning as "and/or" as defined above. For example, when separating items in a list, "or" or "and/or" shall be interpreted as being inclusive, i.e., the inclusion of at least one, but also including more than one, of a number or list of elements, and, optionally, additional unlisted items. Only terms clearly indicated to the contrary, such as "only one of or "exactly one of," or "consisting of," will refer to the inclusion of exactly one element of a number or list of elements. In general, the term "or" as used herein shall only be interpreted as indicating exclusive alternatives (i.e. "one or the other but not both") when preceded by terms of exclusivity, such as "either," "one of," "only one of," or "exactly one of."
[00117] As used herein in the specification, the phrase "at least one," in reference to a list of one or more elements, should be understood to mean at least one element selected from any one or more of the elements in the list of elements, but not necessarily including at least one of each and every element specifically listed within the list of elements and not excluding any combinations of elements in the list of elements. This definition also allows that elements may optionally be present other than the elements specifically identified within the list of elements to which the phrase "at least one" refers, whether related or unrelated to those elements specifically identified. Thus, as a non-limiting example, "at least one of A and B" (or, equivalently, "at least one of A or B," or, equivalently "at least one of A and/or B") can refer, in one embodiment, to at least one, optionally including more than one, A, with no B present (and optionally including elements other than B); in another embodiment, to at least one, optionally including more than one, B, with no A present (and optionally including elements other than A); in yet another embodiment, to at least one, optionally including more than one, A, and at least one, optionally including more than one, B (and optionally including other elements); etc.
[00118] In the claims, as well as in the specification above, all transitional phrases such as "comprising," "including," "carrying," "having," "containing," "involving," "holding," "composed of," and the like are to be understood to be open-ended, i.e., to mean including but not limited to. Only the transitional phrases "consisting of and "consisting essentially of shall be closed or semi-closed transitional phrases, respectively, as set forth in the United States Patent Office Manual of Patent Examining Procedures, Section 21 1 1.03.

Claims

WHAT IS CLAIMED IS:
1. A compact ion beam source comprising:
an electron beam unit, comprising:
a modular housing unit that is selectively impermeable to gasses including oxidizing gaseous molecules, the modular housing unit comprising:
a base portion; and
a window that is selectively transmissive to electrons;
an electron beam source disposed in the modular housing unit, the electron beam source comprising:
at least one field emitter element disposed over the base portion,
comprising:
a first end that is proximate to the base portion; and
a field emitter tip disposed proximate to a second end that is opposite to the first end; and
at least one gate electrode disposed proximate to the second end of the at least one field emitter element, to apply a potential difference proximate to the field emitter tip of the at least one field emitter elements, thereby extracting electrons from the at least one field emitter tip to form an electron beam; and
at least one anode component disposed in the modular housing unit and configured to accelerate the electron beam in a path directed at the window of the modular housing unit; and
a chemical species source disposed proximate to the window of the electron beam unit, the chemical species source providing at least one chemical species that is ionizable on exposure to the electron beam to produce an ion beam.
2. The compact ion source of claim 1 , wherein the electron beam source comprises a current channel region disposed at a first end of the at least one field emitter element proximate to the base portion.
3. The compact ion source of claim 1 , wherein the at least one chemical species is deuterium or tritium.
4. The compact ion source of claim 1 , further comprising a neutron-rich target material disposed in an emission path of the isotopic ion beam.
5. The compact ion source of claim 4, wherein the neutron-rich target material is a triatiated or deuterated target material.
6. The compact ion source of claim 1, wherein the membrane window forms a hermetic seal with the modular housing unit.
7. The compact ion source of claim 1 , wherein the region between the membrane window and the field emitter tip further comprises an inert gas.
8. The compact ion source of claim 1 , wherein the at least one field emitter element comprises a donor-doped region or an acceptor-doped region disposed at the second end.
9. The compact ion source of claim 1 , wherein the window is formed from a two- dimensional material.
10. The compact ion source of claim 9, wherein the window comprises one or more of graphene, molybdenum disulphide, a nitride material, an oxide material, silicene, or any combination thereof.
1 1 . The compact ion source of claim 1 , wherein the base portion comprises at least one logic chip, and wherein the at least one field emitter element is in electrical communication with the at least one logic chip.
12. The compact ion source of claim 1 , wherein the at least one field emitter element is a plurality of field emitter elements, and wherein the plurality of field emitter elements are separately addressable either spatially, or temporally, or both spatially and temporally.
13. A compact ion beam source comprising:
a modular housing unit comprising a base portion;
at least one field emitter element disposed over the base portion, comprising:
a first end that is proximate to the base portion; and
a field emitter tip disposed proximate to a second end that is opposite to the first end;
at least one gate electrode disposed proximate to the second end of the at least one field emitter element; and
at least one chemical species disposed proximate to the field emitter tip, the at least one chemical species being ionizable to produce an ion beam in response to a positive potential difference being at the field emitter tip of the at least one field emitter element relative to the at least one gate electrode.
14. The compact ion source of claim 13, wherein the at least one chemical species is deuterium or tritium.
15. The compact ion source of claim 13, further comprising a neutron-rich target material disposed in an emission path of the isotopic ion beam.
16. The compact ion source of claim 15, wherein the neutron-rich target material is a triatiated or deuterated target material.
17. The compact ion source of claim 13, wherein the region between the membrane window and the field emitter tip further comprises an inert gas.
18. The compact ion source of claim 13, wherein the at least one field emitter element comprises a donor-doped region or an acceptor-doped region disposed at the second end.
19. The compact ion source of claim 13, wherein the base portion comprises at least one logic chip, and wherein the at least one field emitter element is in electrical communication with the at least one logic chip.
20. The compact ion source of claim 13, further comprising at least one collector component disposed in the modular housing unit and configured to apply a lower potential than the gate electrode to accelerate the ion beam .
21 . The compact ion source of claim 13, wherein the at least one field emitter element further comprises a current channel region disposed at a first end of the at least one field emitter element proximate to the base portion.
22. The compact ion source of claim 13, wherein the modular housing unit comprises a window that is selectively transmissive to the ion beam.
23. The compact ion source of claim 22, wherein the window forms a hermetic seal of the modular housing unit, and wherein the modular housing unit is selectively impermeable to gasses including oxidizing gaseous molecules.
24. The compact ion source of claim 22, further comprising a neutron-rich target material disposed external to the modular housing unit proximate to the window.
25. The compact ion source of claim 22, wherein the window is formed from a two- dimensional material.
26. The compact ion source of claim 25, wherein the window comprises one or more of graphene, molybdenum disulphide, a nitride material, an oxide material, silicene, or any combination thereof.
27. The compact ion source of claim 13, wherein the at least one field emitter element is a plurality of field emitter elements, and wherein the plurality of field emitter elements are separately addressable either spatially, or temporally, or both spatially and temporally.
PCT/US2015/000468 2014-12-24 2015-12-23 Compact ion beam sources formed as modular ionizer WO2016105566A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/539,371 US10431412B2 (en) 2014-12-24 2015-12-23 Compact ion beam sources formed as modular ionizer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462096618P 2014-12-24 2014-12-24
US62/096,618 2014-12-24

Publications (1)

Publication Number Publication Date
WO2016105566A1 true WO2016105566A1 (en) 2016-06-30

Family

ID=56151285

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2015/000468 WO2016105566A1 (en) 2014-12-24 2015-12-23 Compact ion beam sources formed as modular ionizer

Country Status (2)

Country Link
US (1) US10431412B2 (en)
WO (1) WO2016105566A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018125279A (en) * 2017-02-01 2018-08-09 エフ イー アイ カンパニFei Company Innovative source assembly for ion beam production

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI614042B (en) * 2016-12-02 2018-02-11 財團法人工業技術研究院 Neutron beam source generator and filter
US11675102B2 (en) * 2018-02-26 2023-06-13 Starfire Industries Llc Associated particle detection for performing neutron flux calibration and imaging
CN108901117A (en) * 2018-09-11 2018-11-27 中国科学院高能物理研究所 A kind of line window equipment
CN113357109B (en) * 2021-06-30 2022-07-15 哈尔滨工业大学 Ignition device of radio frequency ion thruster
DE102022207292A1 (en) * 2022-07-18 2024-01-18 Carl Zeiss Smt Gmbh Residual gas analyzer, projection exposure system with a residual gas analyzer and method for residual gas analysis

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3309616A (en) * 1963-12-17 1967-03-14 Radiation Dynamics Current control and indicator for high voltage accelerators
US5909032A (en) * 1995-01-05 1999-06-01 American International Technologies, Inc. Apparatus and method for a modular electron beam system for the treatment of surfaces
US20070114434A1 (en) * 2004-12-29 2007-05-24 The University Of North Carolina At Chapel Hill Multi-pixel electron microbeam irradiator systems and methods for selectively irradiating predetermined locations
US20090046823A1 (en) * 2007-08-14 2009-02-19 Texas Instruments Incorporated Neutron generating device
US20140184074A1 (en) * 2012-12-27 2014-07-03 Schlumberger Technology Corporation Ion source using field emitter array cathode and electromagnetic confinement
WO2014124041A2 (en) * 2013-02-05 2014-08-14 Guerrera Stephen Angelo Individually switched field emission arrays

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6815688B2 (en) 2002-01-09 2004-11-09 Conrad W. Schneiker Devices for guiding and manipulating electron beams
AU2003304297A1 (en) 2002-08-23 2005-01-21 Sungho Jin Article comprising gated field emission structures with centralized nanowires and method for making the same
US7960704B2 (en) 2007-10-15 2011-06-14 Excellims Corporation Compact pyroelectric sealed electron beam
JP2014500583A (en) 2010-10-27 2014-01-09 日立造船株式会社 Curved support grid for hermetically sealed thin film applications
US20130146221A1 (en) 2011-12-13 2013-06-13 Southern Illinois University Carbondale Graphene-based membranes as electron transparent windows for ambient pressure x-ray photoelectron spectroscopy
US9786774B2 (en) 2014-06-27 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate of gate-all-around transistor

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3309616A (en) * 1963-12-17 1967-03-14 Radiation Dynamics Current control and indicator for high voltage accelerators
US5909032A (en) * 1995-01-05 1999-06-01 American International Technologies, Inc. Apparatus and method for a modular electron beam system for the treatment of surfaces
US20070114434A1 (en) * 2004-12-29 2007-05-24 The University Of North Carolina At Chapel Hill Multi-pixel electron microbeam irradiator systems and methods for selectively irradiating predetermined locations
US20090046823A1 (en) * 2007-08-14 2009-02-19 Texas Instruments Incorporated Neutron generating device
US20140184074A1 (en) * 2012-12-27 2014-07-03 Schlumberger Technology Corporation Ion source using field emitter array cathode and electromagnetic confinement
WO2014124041A2 (en) * 2013-02-05 2014-08-14 Guerrera Stephen Angelo Individually switched field emission arrays

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018125279A (en) * 2017-02-01 2018-08-09 エフ イー アイ カンパニFei Company Innovative source assembly for ion beam production

Also Published As

Publication number Publication date
US10431412B2 (en) 2019-10-01
US20180247784A1 (en) 2018-08-30

Similar Documents

Publication Publication Date Title
US10431412B2 (en) Compact ion beam sources formed as modular ionizer
US9196447B2 (en) Self-aligned gated emitter tip arrays
US10804061B2 (en) Surface-tunneling micro electron source and array and realization method thereof
US10832885B2 (en) Electron transparent membrane for cold cathode devices
Fomani et al. Toward amp-level field emission with large-area arrays of Pt-coated self-aligned gated nanoscale tips
Feng et al. General vacuum electronics
US10319554B2 (en) Compact modular cathode
US10319557B2 (en) Ion generator and method for using the same
Pilz et al. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source
Fomani et al. Low-voltage field ionization of gases up to torr-level pressures using massive arrays of self-aligned gated nanoscale tips
US9953796B2 (en) Nano vacuum gap device with a gate-all-around cathode
US10658144B2 (en) Shadowed grid structures for electrodes in vacuum electronics
Velásquez-García et al. A PECVD CNT-based open architecture field ionizer for portable mass spectrometry
Ghotbi et al. Effect of Substrate Conductivity on Si Self-Assembled Field Emission Arrays
Rughoobur et al. Electron transmission through suspended graphene membranes measured with a low-voltage gated Si field emitter array
US8664622B2 (en) System and method of ion beam source for semiconductor ion implantation
Rughoobur et al. Towards vacuum-less operation of nanoscale vacuum channel transistors
US10577246B1 (en) Single walled carbon nanotube triode and methods of using same
Takeuchi et al. Metal-free and gasless space charge compensation of low energy ion beam by using surface-carbonized silicon field emitter array
US10727325B1 (en) Nanostructure-based vacuum channel transistor
Dyuzhev et al. Vacuum Nanoelectronics Based on Semiconductor Field-Emission Structures: Current State and Development Prospects. Review
Patti et al. 2-V turn-on voltage field-emitting vacuum nanoelectronic device
TW200805428A (en) Field emission microelectronic device
Deka et al. Design, Development and Applications of Portable Field Emission Devices
Lee et al. A triode-type carbon nanotube ionizer for micro mass spectrometer

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15873830

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 15873830

Country of ref document: EP

Kind code of ref document: A1